<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁  資訊  商機(jī)   下載  拆解   高校  招聘   雜志  會(huì)展  EETV  百科   問答  電路圖  工程師手冊(cè)   Datasheet  100例   活動(dòng)中心  E周刊閱讀   樣片申請(qǐng)
          EEPW首頁 >> 主題列表 >> flash fpga

          基于FPGA的智能小車設(shè)計(jì)方案

          • 基于FPGA的智能小車設(shè)計(jì)方案-小車具備溫濕度和環(huán)境監(jiān)測(cè)、無線通信、躲避障礙物以及無線遙控等功能。此智能監(jiān)控機(jī)器小車與目前已有的同類設(shè)計(jì)相比,有性價(jià)比高、操作方便、可靠性好、功耗小等優(yōu)點(diǎn)。
          • 關(guān)鍵字: FPGA  機(jī)器人  

          聊一聊FPGA低功耗設(shè)計(jì)的那些事兒

          • 聊一聊FPGA低功耗設(shè)計(jì)的那些事兒-以下是筆者一些關(guān)于FPGA功耗估計(jì)和如何進(jìn)行低功耗設(shè)計(jì)的知識(shí)。
          • 關(guān)鍵字: FPGA  Xilinx  

          深入而全面:FPGA學(xué)習(xí)之獨(dú)立按鍵檢測(cè)

          • 深入而全面:FPGA學(xué)習(xí)之獨(dú)立按鍵檢測(cè)-幾乎沒有哪一個(gè)系統(tǒng)沒有輸入輸出設(shè)備,大到顯示器,小到led燈,輕觸按鍵。作為一個(gè)系統(tǒng),要想穩(wěn)定的工作,輸入輸出設(shè)備的性能占了很重要的角色。本實(shí)驗(yàn),小梅哥就通過一個(gè)獨(dú)立按鍵的檢測(cè)實(shí)驗(yàn),來正式步入基本外設(shè)驅(qū)動(dòng)開發(fā)的大門。
          • 關(guān)鍵字: FPGA  

          詳解基于蜜罐技術(shù)的FPGA實(shí)現(xiàn)技術(shù)

          • 詳解基于蜜罐技術(shù)的FPGA實(shí)現(xiàn)技術(shù)- 蜜罐技術(shù)由來已久,蜜罐(Honeypot)是一種在互聯(lián)網(wǎng)上運(yùn)行的計(jì)算機(jī)系統(tǒng)。它是專門為吸引并誘騙那些試圖非法闖入他人計(jì)算機(jī)系統(tǒng)的人(如電腦黑客)而設(shè)計(jì)的,蜜罐系統(tǒng)是一個(gè)包含漏洞的誘騙系統(tǒng),它通過模擬一個(gè)或多個(gè)易受攻擊的主機(jī),給攻擊者提供一個(gè)容易攻擊的目標(biāo)。
          • 關(guān)鍵字: FPGA  蜜罐技術(shù)  

          FPGA可測(cè)性設(shè)計(jì)的“大數(shù)據(jù)”原理

          • FPGA可測(cè)性設(shè)計(jì)的“大數(shù)據(jù)”原理-當(dāng)下,最火的學(xué)問莫過于“大數(shù)據(jù)”,大數(shù)據(jù)的核心思想就是通過科學(xué)統(tǒng)計(jì),實(shí)現(xiàn)對(duì)于社會(huì)、企業(yè)、個(gè)人的看似無規(guī)律可循的行為進(jìn)行更深入和直觀的了解。FPGA的可測(cè)性也可以對(duì)FPGA內(nèi)部“小數(shù)據(jù)”的統(tǒng)計(jì)查詢,來實(shí)現(xiàn)對(duì)FPGA內(nèi)部BUG的探查。
          • 關(guān)鍵字: 大數(shù)據(jù)  FPGA  FIFO  

          使用FPGA構(gòu)建的數(shù)字濾波器設(shè)計(jì)方案

          • 使用FPGA構(gòu)建的數(shù)字濾波器設(shè)計(jì)方案-本文簡(jiǎn)要介紹了FIR數(shù)字濾波器的結(jié)構(gòu)特點(diǎn)和基本原理,提出基于FPGA和DSP Builder的FIR數(shù)字濾波器的基本設(shè)計(jì)流程和實(shí)現(xiàn)方案。##FIR 數(shù)字濾波器的詳細(xì)設(shè)計(jì)。
          • 關(guān)鍵字: 數(shù)字濾波器  fpga  fir數(shù)字濾波器  

          創(chuàng)意耳紋識(shí)別系統(tǒng)的研究與實(shí)現(xiàn)方案

          • 創(chuàng)意耳紋識(shí)別系統(tǒng)的研究與實(shí)現(xiàn)方案-基于FPGA與傳感技術(shù)的耳紋識(shí)別系統(tǒng)的研究與實(shí)現(xiàn)。
          • 關(guān)鍵字: FPGA  傳感器技術(shù)  

          利用可編程器件設(shè)計(jì)車用顯示系統(tǒng)的方案

          • 利用可編程器件設(shè)計(jì)車用顯示系統(tǒng)的方案-電子設(shè)備正在迅速發(fā)展,尤其是車用顯示系統(tǒng),視頻和視頻處理正成為汽車應(yīng)用中增長(zhǎng)較快的技術(shù)。像車道保持、駕駛監(jiān)控、夜視以及車載娛樂設(shè)備等都是典型的應(yīng)用需求。
          • 關(guān)鍵字: 可編程器件  FPGA  

          ASIC、ASSP、SoC和FPGA之間到底有何區(qū)別?

          • ASIC、ASSP、SoC和FPGA之間到底有何區(qū)別?-我經(jīng)常收到關(guān)于各類設(shè)備之間的差異的問題,諸如ASIC、ASSP、SoC和FPGA之間的區(qū)別問題。例如是SoC是ASIC嗎?或ASIC是SoC嗎?ASIC和ASSP之間的區(qū)別是什么?以及高端FPGA應(yīng)該歸類為SoC嗎?
          • 關(guān)鍵字: FPGA  SoC  ASSP  ASIC  

          基于FPGA的數(shù)字核脈沖分析器硬件設(shè)計(jì)解析

          • 基于FPGA的數(shù)字核脈沖分析器硬件設(shè)計(jì)解析-多道脈沖幅度分析儀和射線能譜儀是核監(jiān)測(cè)與和技術(shù)應(yīng)用中常用的儀器。
          • 關(guān)鍵字: 硬件設(shè)計(jì)  脈沖分析器  FPGA  

          利用可編程振蕩器增強(qiáng)FPGA應(yīng)用

          • 利用可編程振蕩器增強(qiáng)FPGA應(yīng)用-可編程時(shí)鐘振蕩器用作FPGA系統(tǒng)的時(shí)序參考,可提供一系列優(yōu)勢(shì)。其中首要優(yōu)勢(shì)是為了實(shí)現(xiàn)時(shí)鐘樹優(yōu)化而進(jìn)行高分辨率頻率選擇時(shí)所帶來的設(shè)計(jì)靈活性,另一個(gè)巨大優(yōu)勢(shì)是具有可以減少電磁干擾(EMI)的擴(kuò)頻調(diào)制功能。
          • 關(guān)鍵字: DLL  PLL  FPGA  

          基于FPGA多路冗余視覺信號(hào)的處理

          • 基于FPGA多路冗余視覺信號(hào)的處理-采用以FPGA作為核心處理器實(shí)現(xiàn)了對(duì)多路DVI視頻冗余信號(hào)的解碼、編碼實(shí)時(shí)處理以及輸出顯示,并且信號(hào)通道增加冗余設(shè)計(jì),因而加強(qiáng)了系統(tǒng)的穩(wěn)定性和可靠性。電路設(shè)計(jì)簡(jiǎn)潔,具有較強(qiáng)的靈活性和擴(kuò)展性。通過實(shí)際測(cè)試結(jié)果表明,系統(tǒng)能夠流暢地對(duì)1600×1200分辨率,60 Hz刷新率,24位真彩色的高清視頻進(jìn)行實(shí)時(shí)處理,其系統(tǒng)可靠、穩(wěn)定,實(shí)用性強(qiáng)。
          • 關(guān)鍵字: FPGA  圖像處理  DVI  

          基于FPGA的軟硬件協(xié)同仿真加速技術(shù)

          • 基于FPGA的軟硬件協(xié)同仿真加速技術(shù)-在系統(tǒng)設(shè)計(jì)中,硬件復(fù)雜電路設(shè)計(jì)的調(diào)試與仿真工作對(duì)于設(shè)計(jì)者來說十分困難。為了降低仿真復(fù)雜度,加快仿真速度,本文提出利用FPGA加速的思想,實(shí)現(xiàn)軟硬件協(xié)同加速仿真。經(jīng)過實(shí)驗(yàn),相對(duì)于純軟件仿真,利用軟硬件協(xié)同加速仿真技術(shù),仿真速度提高近30倍,大大縮短了仿真時(shí)間。
          • 關(guān)鍵字: FPGA  軟硬件協(xié)同仿真  仿真加速  

          基于FPGA實(shí)現(xiàn)VGA的彩色圖片顯示

          • 基于FPGA實(shí)現(xiàn)VGA的彩色圖片顯示-VGA作為一種標(biāo)準(zhǔn)顯示接口,廣泛應(yīng)用于各種智能控制的顯示終端。伴隨著電子產(chǎn)業(yè)的不斷發(fā)展,尤其是高速圖像處理的發(fā)展對(duì)可以將實(shí)時(shí)圖像進(jìn)行高速處理有了更高的要求。這里根據(jù)VGA接口的原理,通過FPGA對(duì)VGA進(jìn)行控制,實(shí)現(xiàn)任一彩色圖像的顯示。通過采用FPGA設(shè)計(jì)VGA接口可以將要顯示的數(shù)據(jù)直接送到液晶顯示器,節(jié)省了計(jì)算機(jī)的處理過程,加快了數(shù)據(jù)的處理速度,節(jié)約了硬件成本。
          • 關(guān)鍵字: VGA  MATLAB  FPGA  

          基于FPGA的超寬帶數(shù)字下變頻設(shè)計(jì)

          • 基于FPGA的超寬帶數(shù)字下變頻設(shè)計(jì)-本文介紹了基于FPGA、以并行多相濾波結(jié)構(gòu)為算法基礎(chǔ)的超寬帶數(shù)字下變頻技術(shù)。設(shè)計(jì)過程包括高速AD信號(hào)降速預(yù)處理,應(yīng)用SysGen開發(fā)環(huán)境完成的數(shù)字混頻、多相濾波和數(shù)據(jù)抽取,并通過仿真驗(yàn)證了算法的可行性。
          • 關(guān)鍵字: FPGA  變頻技術(shù)  
          共6827條 50/456 |‹ « 48 49 50 51 52 53 54 55 56 57 » ›|

          flash fpga介紹

          您好,目前還沒有人創(chuàng)建詞條flash fpga!
          歡迎您創(chuàng)建該詞條,闡述對(duì)flash fpga的理解,并與今后在此搜索flash fpga的朋友們分享。    創(chuàng)建詞條

          熱門主題

          樹莓派    linux   
          關(guān)于我們 - 廣告服務(wù) - 企業(yè)會(huì)員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機(jī)EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國(guó)際技術(shù)信息咨詢有限公司
          備案 京ICP備12027778號(hào)-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();