<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁(yè)  資訊  商機(jī)   下載  拆解   高校  招聘   雜志  會(huì)展  EETV  百科   問(wèn)答  電路圖  工程師手冊(cè)   Datasheet  100例   活動(dòng)中心  E周刊閱讀   樣片申請(qǐng)
          EEPW首頁(yè) >> 主題列表 >> flash fpga

          基于FPGA的鍵盤輸入累計(jì)存儲(chǔ)IP核的設(shè)計(jì)與驗(yàn)證

          • 基于FPGA設(shè)計(jì)了一款通用鍵盤IP核,該核主要實(shí)現(xiàn)對(duì)鍵盤輸入信號(hào)的計(jì)算與存儲(chǔ)功能,并在quartusⅡ環(huán)境下使用VHDL語(yǔ)言,采用自頂向下設(shè)計(jì)方式,編輯生成RTL原理圖,并做了相關(guān)的時(shí)序仿真驗(yàn)證。經(jīng)驗(yàn)證此IP核具有較強(qiáng)的魯棒性和較高的反應(yīng)速度,可作為基礎(chǔ)輸入模塊,為其他模塊提供有力控制輸入與數(shù)據(jù)支持。
          • 關(guān)鍵字: 鍵盤IP核  VHDL  FPGA  

          大規(guī)模FPGA設(shè)計(jì)中的C/C++解決方案

          • systemC和Handle-C,它們相應(yīng)的開(kāi)發(fā)系統(tǒng)為:CoCentric System Stadio和Celoxica DK1。這兩種語(yǔ)言都是在C/C++的基礎(chǔ)上根據(jù)硬件設(shè)計(jì)的需求加以改進(jìn)和擴(kuò)充,用戶可以在它們的開(kāi)發(fā)環(huán)境編輯代碼,調(diào)用庫(kù)文件,甚至可以引進(jìn)HDL程序,并進(jìn)行仿真,最終生成網(wǎng)表文件,放到FPGA中執(zhí)行。
          • 關(guān)鍵字: EDA技術(shù)  C語(yǔ)言  FPGA  

          基于FPGA的紅外成像導(dǎo)引頭信號(hào)調(diào)理卡設(shè)計(jì)

          • 紅外成像導(dǎo)引頭采用紅外焦平面陣列探測(cè)器,易受太陽(yáng)光等雜散光的影響,評(píng)估雜散光對(duì)紅外探測(cè)器成像質(zhì)量的影響十分重要。由于導(dǎo)引頭輸出的信號(hào)一般采用LVDS或HOTLink格式傳輸,不能被雜散光測(cè)試設(shè)備直接接收,設(shè)計(jì)了一種圖像調(diào)理卡,采用FPGA為控制核心,將紅外探測(cè)器輸出的圖像信號(hào)進(jìn)行格式轉(zhuǎn)換和調(diào)理后傳輸至雜散光測(cè)試設(shè)備。
          • 關(guān)鍵字: 導(dǎo)引頭  LVDS  FPGA  

          一種混合結(jié)構(gòu)高速LDPC編碼器的FPGA實(shí)現(xiàn)

          • 分析了準(zhǔn)循環(huán)低密度奇偶校驗(yàn)碼生成矩陣的結(jié)構(gòu)特點(diǎn),討論了硬件可實(shí)現(xiàn)的三種常見(jiàn)編碼器結(jié)構(gòu),提出了一種混合結(jié)構(gòu)的FPGA實(shí)現(xiàn)方法。通過(guò)利用循環(huán)矩陣的結(jié)構(gòu)特性,增加少量硬件開(kāi)銷,就可以實(shí)現(xiàn)編碼器高速編碼,滿足高速通信需求,吞吐量達(dá)1.36Gb/s。
          • 關(guān)鍵字: 奇偶校驗(yàn)碼  循環(huán)矩陣  FPGA  

          SRAM型FPGA單粒子效應(yīng)試驗(yàn)研究

          • 針對(duì)軍品級(jí)SRAM型FPGA的單粒子效應(yīng)特性,文中采用重離子加速設(shè)備,對(duì)Xilinx公司Virtex-II系列可重復(fù)編程FPGA中一百萬(wàn)門的XQ2V1000進(jìn)行輻射試驗(yàn)。試驗(yàn)中,被測(cè)FPGA單粒子翻轉(zhuǎn)采用了靜態(tài)與動(dòng)態(tài)兩種測(cè)試方式。并且通過(guò)單粒子功能中斷的測(cè)試,研究了基于重配置的單粒子效應(yīng)減緩方法。試驗(yàn)發(fā)現(xiàn)被測(cè)FPGA對(duì)單粒子翻轉(zhuǎn)與功能中斷都較為敏感,但是在注入粒子LET值達(dá)到42MeV.cm2/mg時(shí)仍然對(duì)單粒子鎖定免疫。
          • 關(guān)鍵字: 單粒子效應(yīng)  重離子加速設(shè)備  FPGA  

          基于FPGA的LCoS顯示驅(qū)動(dòng)系統(tǒng)的設(shè)計(jì)與實(shí)現(xiàn)

          • 研究了硅基液晶(LCoS)場(chǎng)序彩色顯示驅(qū)動(dòng)系統(tǒng)的設(shè)計(jì)與實(shí)現(xiàn).該系統(tǒng)以FPGA作為主控芯片,用兩片高速DDR2 SDRAM作為幀圖像存儲(chǔ)器.通過(guò)對(duì)圖像數(shù)據(jù)以幀為單位進(jìn)行處理,系統(tǒng)將并行輸入的紅、綠、藍(lán)數(shù)據(jù)轉(zhuǎn)換成申行輸出的紅、綠、藍(lán)單色子幀.將該驅(qū)動(dòng)系統(tǒng)與投影光機(jī)配合,實(shí)現(xiàn)了分辨率為800×600的LCoS場(chǎng)序彩色顯示.
          • 關(guān)鍵字: 硅基液晶  DDR  FPGA  

          基于FPGA的平方根升余弦濾波器設(shè)計(jì)

          • 為了滿足陸上集群無(wú)線電(TETRA)數(shù)字集群系統(tǒng)對(duì)基帶信號(hào)成形處理的要求,提出了一種用于TETRA數(shù)字集群系統(tǒng)的平方根升余弦(SRRC)濾波器設(shè)計(jì),論述了基帶成形濾波和SRRC濾波器的基本原理,分析了窄帶調(diào)制帶寬限制、TETRA鄰道干擾限制和濾波器階數(shù)等需解決的問(wèn)題,論述了濾波器參數(shù)設(shè)計(jì)和FIR濾波器FPGA實(shí)現(xiàn)等關(guān)鍵技術(shù),完成了對(duì)基于FPGA的SRRC濾波器設(shè)計(jì)的仿真分析。
          • 關(guān)鍵字: 數(shù)字集群系統(tǒng)  基帶信號(hào)  FPGA  

          基于FPGA的LCoS驅(qū)動(dòng)及圖像FFT變換系統(tǒng)設(shè)計(jì)

          • 本文設(shè)計(jì)了基于FPGA 的LCoS 驅(qū)動(dòng)代碼及圖像的FFT 變換系統(tǒng), 為計(jì)算全息三維顯示圖像處理和顯示提供了硬件平臺(tái)。
          • 關(guān)鍵字: DDRII  全息三維顯示  FPGA  

          基于FPGA圖形字符加速的液晶顯示模塊

          • 在傳統(tǒng)的工業(yè)控制應(yīng)用中,由于工業(yè)控制計(jì)算機(jī)中集成了高性能的顯卡,故通常采用工業(yè)控制計(jì)算機(jī)+液晶顯示器的體系結(jié)構(gòu),可方便地實(shí)現(xiàn)以圖形和字符為主的人機(jī)界面。而在對(duì)實(shí)時(shí)性能和可靠性要求比較高的航空航天領(lǐng)域,通常要求液晶顯示器內(nèi)部集成圖形顯示功能,以減輕主控處理器的負(fù)擔(dān),并提高系統(tǒng)的實(shí)時(shí)性。重點(diǎn)介紹了如何利用FPGA實(shí)現(xiàn)基于Bresenham算法的2D圖形繪制(包括畫點(diǎn)、畫線、畫圓、畫橢圓),以及點(diǎn)陣字符和位圖在液晶屏上的顯示,并提出了顯示性能優(yōu)化的一系列策略。
          • 關(guān)鍵字: 圖形顯示  2D圖形繪制  FPGA  

          基于FPGA的簡(jiǎn)易微機(jī)的結(jié)構(gòu)分析與實(shí)現(xiàn)

          • 微型計(jì)算機(jī)的原理及結(jié)構(gòu)一般不易理解掌握,利用FPGA來(lái)學(xué)習(xí)并構(gòu)建一個(gè)簡(jiǎn)易微型計(jì)算機(jī)無(wú)疑是一個(gè)好方法,對(duì)EDA的軟硬件學(xué)習(xí)也是一個(gè)不錯(cuò)的選擇,可為將來(lái)進(jìn)行相關(guān)ASIC沒(méi)計(jì)打下良好的基礎(chǔ)。
          • 關(guān)鍵字: 微型計(jì)算機(jī)  FPGA  EDA  

          基于FPGA的高清圖像處理設(shè)計(jì)

          • FPGA解決方案可容易地支持超過(guò)HDTV要求的數(shù)據(jù)傳輸速率,這意味著一個(gè)器件可以支持所有這些格式,只需要根據(jù)設(shè)備的需要進(jìn)行重新編程就可以了。這可減少企業(yè)的用料清單項(xiàng)目,同時(shí)還排除了ASSP供應(yīng)商可能存在的供貨風(fēng)險(xiǎn)。
          • 關(guān)鍵字: 廣播格式  圖像處理  FPGA  

          基于FPGA的光纖陀螺慣導(dǎo)系統(tǒng)溫控電路接口設(shè)計(jì)

          • 本文介紹了一種基于FPGA的光纖陀螺慣導(dǎo)系統(tǒng)溫控電路接口設(shè)計(jì)。主要說(shuō)明了溫控電路整體結(jié)構(gòu),溫控電路工作流程,FPGA與外圍電路的通信接口和FPGA的邏輯設(shè)計(jì)等幾個(gè)方面。
          • 關(guān)鍵字: 溫控電路  光纖陀螺  FPGA  

          基于FPGA的AVS解碼芯片驗(yàn)證平臺(tái)

          • 針對(duì)AVS視頻解碼芯片仿真和驗(yàn)證的要求,提出了基于FPGA的驗(yàn)證平臺(tái)框架。該驗(yàn)證平臺(tái)主要用于對(duì)AVS解碼芯片進(jìn)行硬件模塊的驗(yàn)證,從而為整個(gè)視頻解碼芯片的開(kāi)發(fā)提供可靠的依據(jù)。該平臺(tái)基于Nios II軟核處理器,可使軟件模塊和硬件模塊在一個(gè)平臺(tái)下真正實(shí)現(xiàn)軟硬件協(xié)同工作?;谠撈脚_(tái)實(shí)現(xiàn)了多個(gè)硬件模塊和AVS視頻解碼芯片的驗(yàn)證,其結(jié)果證明了該驗(yàn)證平臺(tái)的正確性和可靠性。
          • 關(guān)鍵字: 視頻解碼  驗(yàn)證平臺(tái)  FPGA  

          基于FPGA的高精度時(shí)間數(shù)字轉(zhuǎn)換電路的設(shè)計(jì)與實(shí)現(xiàn)

          • 本文介紹一種基于 FPGA高精度時(shí)間數(shù)字轉(zhuǎn)換電路的設(shè)計(jì)方法,利用片內(nèi)鎖相環(huán)(PLL)和環(huán)形移位寄存器,采用不高的系統(tǒng)時(shí)鐘便可得到很高的時(shí)間分辨率,且占用較少邏輯資源??勺鳛楣δ茈娐藩?dú)立使用,也可作為 IP核方便地移植到其他片上系統(tǒng)(SOC)中。在 Altera公司的 Stratix和 Cyclone系列芯片上實(shí)現(xiàn)時(shí),時(shí)間分辨率昀高可達(dá) 3.3ns。時(shí)序仿真和硬件測(cè)試表明該方法的可行性和準(zhǔn)確性。
          • 關(guān)鍵字: 時(shí)間數(shù)字轉(zhuǎn)換電路  激光探測(cè)  FPGA  

          量程自整定高精度頻率測(cè)量的FPGA實(shí)現(xiàn)

          • 數(shù)字頻率計(jì)是一種應(yīng)用十分廣泛的電子測(cè)量?jī)x表,針對(duì)寬頻率范圍被測(cè)信號(hào)頻率測(cè)量應(yīng)用需求,提出并實(shí)現(xiàn)了一種基于FPGA的自動(dòng)量程切換高精度數(shù)字頻率計(jì)的設(shè)計(jì)方法。通過(guò)構(gòu)建測(cè)頻控制器、閘門同步生成器、量程自動(dòng)切換等模塊,并采用Verilog HDL語(yǔ)言進(jìn)行描述,運(yùn)用自頂向下的數(shù)字系統(tǒng)設(shè)計(jì)方法實(shí)現(xiàn)了寬頻率范圍頻率測(cè)量的量程自動(dòng)切換。在Xilinx公司的XUPV5-LX110T開(kāi)發(fā)板上進(jìn)行了測(cè)試,給出了系統(tǒng)后仿真波形。結(jié)果表明目標(biāo)系統(tǒng)能根據(jù)被測(cè)信號(hào)頻率范圍進(jìn)行自動(dòng)量程切換,實(shí)現(xiàn)高精度頻率測(cè)量,測(cè)量精度不低于10-7,
          • 關(guān)鍵字: 數(shù)字頻率計(jì)  自動(dòng)量程切換  FPGA  
          共6827條 74/456 |‹ « 72 73 74 75 76 77 78 79 80 81 » ›|

          flash fpga介紹

          您好,目前還沒(méi)有人創(chuàng)建詞條flash fpga!
          歡迎您創(chuàng)建該詞條,闡述對(duì)flash fpga的理解,并與今后在此搜索flash fpga的朋友們分享。    創(chuàng)建詞條

          熱門主題

          樹莓派    linux   
          關(guān)于我們 - 廣告服務(wù) - 企業(yè)會(huì)員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機(jī)EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國(guó)際技術(shù)信息咨詢有限公司
          備案 京ICP備12027778號(hào)-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();