<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁  資訊  商機   下載  拆解   高校  招聘   雜志  會展  EETV  百科   問答  電路圖  工程師手冊   Datasheet  100例   活動中心  E周刊閱讀   樣片申請
          EEPW首頁 >> 主題列表 >> fpga+dsp

          一種基于偏振原理和FPGA的調(diào)光系統(tǒng)設(shè)計

          • 設(shè)計了一種光強自動調(diào)節(jié)系統(tǒng)。通過光電傳感電路實現(xiàn)光電信號的轉(zhuǎn)換,使用FPGA對數(shù)據(jù)進行實時處理,并以實驗環(huán)境光照強度測試結(jié)果為參照對所測光強進行線性變換修正,進而查表獲得舵機偏轉(zhuǎn)角度的控制量,通過改變偏振片偏振化方向夾角來調(diào)節(jié)入射光強。自動調(diào)光系統(tǒng)測量精度較高,實時調(diào)節(jié)性較好,魯棒性較強。
          • 關(guān)鍵字: 光強調(diào)節(jié)  光電傳感  FPGA  

          同步數(shù)字復(fù)接的設(shè)計及其FPGA技術(shù)實現(xiàn)

          • 在簡要介紹同步數(shù)字復(fù)接基本原理的基礎(chǔ)上,采用VHDL語言對同步數(shù)字復(fù)接各組成模塊進行了設(shè)計,并在ISE集成環(huán)境下進行了設(shè)計描述、綜合、布局布線及時序仿真,取得了正確的設(shè)計結(jié)果,同時利用中小容量的FPGA實現(xiàn)了同步數(shù)字復(fù)接功能。
          • 關(guān)鍵字: 同步數(shù)字復(fù)接  VHDL  FPGA  

          基于FPGA的數(shù)字復(fù)接系統(tǒng)的設(shè)計與實現(xiàn)

          • 提出了基于FPGA技術(shù)實現(xiàn)數(shù)字復(fù)接系統(tǒng)的設(shè)計方案,并介紹了基群與二次群之間的復(fù)接與分接的系統(tǒng)總體設(shè)計。硬件電路調(diào)試證明,該方案是行之有效的。
          • 關(guān)鍵字: 數(shù)字復(fù)接系統(tǒng)  基群  FPGA  

          一種基于FPGA的嵌入式塊SRAM的設(shè)計

          • 文章中提出了一種應(yīng)用于FPGA的嵌入式可配置雙端口的塊存儲器。該存儲器包括與其他電路的布線接口、可配置邏輯、可配置譯碼、高速讀寫電路。在編程狀態(tài)下,可對所有存儲單元進行清零,且編程后為兩端口獨立的雙端存儲器。
          • 關(guān)鍵字: 塊存儲器  雙端口  FPGA  

          利用混合信號FPGA和先進的軟件工具實現(xiàn)簡易系統(tǒng)設(shè)計

          • 過去十多年間出現(xiàn)了兩類集成處理器的FPGA:帶有處理器軟核的FPGA和帶有處理器硬核的FPGA。它們各有其優(yōu)缺點,但其中有些FPGA得以幸存,有的卻慘遭淘汰。問題在于嵌入式與 FPGA 設(shè)計人員的設(shè)計流程和相反特性究竟在多大程度上阻礙了這些器件的快速采納。
          • 關(guān)鍵字: 處理器軟核  嵌入式領(lǐng)域  FPGA  

          基于FPGA的信號小波實時處理方法

          • 根據(jù)小波去噪的原理及特點,提出了用 FPGA實現(xiàn)小波實時信號處理的方法。實驗結(jié)果證明采用FPGA實現(xiàn)小波信號處理能在低信噪比的情況下有效去除噪聲,同時能夠滿足信號處理系統(tǒng)的實時性要求。
          • 關(guān)鍵字: 小波去噪  信噪比  FPGA  

          多相結(jié)構(gòu)采樣率變換器的FPGA實現(xiàn)

          • 采樣率變換器是多采樣率系統(tǒng)的一個重要組成部分。詳細(xì)討論了有理數(shù)采樣率變換器的原理,同時結(jié)合多采樣率系統(tǒng)網(wǎng)絡(luò)的等效變換和FIR濾波器的多相分解形式[1~2],給出了適合于硬件實現(xiàn)的一種高效的多相結(jié)構(gòu),并在Altera公司的FPGA芯片EP1C3T144C6上進行了實現(xiàn)與驗證。
          • 關(guān)鍵字: 多采樣率系統(tǒng)  多相結(jié)構(gòu)  FPGA  

          激光告警系統(tǒng)的異步FIFO設(shè)計

          • 介紹了在激光告警系統(tǒng)中采用異步FIFO解決A/D數(shù)據(jù)采樣與FPGA數(shù)據(jù)處理模塊之間的不同速率匹配問題。在分析異步FIFO設(shè)計難點基礎(chǔ)上,提出利用Gray碼計數(shù)器作為讀寫地址編碼,有效地同步了異步信號,避免了亞穩(wěn)態(tài)現(xiàn)象的產(chǎn)生,給不同速率間的數(shù)據(jù)傳輸提供了一種有效的解決方案。
          • 關(guān)鍵字: 異步FIFO  A/D數(shù)據(jù)采樣  FPGA  

          ISE 12設(shè)計套件開啟FPGA生產(chǎn)力新時代

          • 賽靈思公司(Xilinx)最新推出的ISE 12軟件設(shè)計套件,實現(xiàn)了具有更高設(shè)計生產(chǎn)力的功耗和成本的突破性優(yōu)化。ISE設(shè)計套件首次利用“智能”時鐘門控技術(shù),將動態(tài)功耗降低多達(dá)30%。此外,該新型套件還提供了基于時序的高級設(shè)計保存功能、為即插即用設(shè)計提供符合AMBA 4 AXI4 規(guī)范的IP支持,同時具備第四代部分重配置功能的直觀設(shè)計流程,可降低多種高性能應(yīng)用的系統(tǒng)成本。
          • 關(guān)鍵字: Xilinx  設(shè)計套件  FPGA  

          基于FPGA 的二維提升小波變換IP核設(shè)計

          • 提出了一種高效并行的二維離散提升小波(DWT)變換結(jié)構(gòu),該結(jié)構(gòu)只需要7 行數(shù)據(jù)緩存,即可實現(xiàn)行和列方向同時進行濾波變換。
          • 關(guān)鍵字: 小波變換  數(shù)據(jù)緩存  FPGA  IP核  

          基于FPGA控制的IDE磁盤陣列設(shè)計

          • 設(shè)計了一種基于FPGA控制的高速數(shù)據(jù)存儲系統(tǒng)。該系統(tǒng)采用FPGA實現(xiàn)了對四個符合ATA-6規(guī)范的、RAID 0配置的IDE磁盤陣列的管理,并配合四個SDRAM實現(xiàn)對數(shù)據(jù)的高速穩(wěn)定存儲。該磁盤陣列同時掛四個IDE硬盤,平均數(shù)據(jù)流達(dá)到200MB/s,峰值傳輸速率達(dá)到800MB/s,也可以擴展更多硬盤,構(gòu)成大容量的磁盤陣列。
          • 關(guān)鍵字: 高速數(shù)據(jù)存儲  IDE磁盤陣列  FPGA  

          軟件無線電數(shù)字下變頻技術(shù)研究及FPGA實現(xiàn)

          • 在數(shù)字下變頻系統(tǒng)實現(xiàn)方案中,輸入的模擬中頻信號經(jīng)過高速A/D采樣數(shù)字化后與數(shù)控振蕩器NCO(Numerically Controlled Osillator)產(chǎn)生的正交本振信號混頻,然后再由抽取濾波模塊進行處理,以輸出低速的低頻或基帶信號。本文以軟件無線電數(shù)字下變頻技術(shù)為研究對象,參考GSM系統(tǒng)建立數(shù)字下變頻系統(tǒng)。
          • 關(guān)鍵字: 數(shù)字變頻  軟件無線電  FPGA  

          10Gbps線速轉(zhuǎn)發(fā)引擎的并行流水線設(shè)計與實現(xiàn)

          • 設(shè)計了一種基于FPGA平臺的并行處理流水線結(jié)構(gòu),配合高速查表,可支持10Gbps接口的報文轉(zhuǎn)發(fā)。該設(shè)計已應(yīng)用在國家863計劃重大課題“可擴展到T比特的高性能IPv4/v6路由器基礎(chǔ)平臺及實驗系統(tǒng)”中,并通過測試。
          • 關(guān)鍵字: 并行流水線  高速查表  FPGA  

          基于FPGA的雙路可移相任意波形發(fā)生器

          • 本文論述了利用用FPGA來開發(fā)DDS函數(shù)發(fā)生器的總體設(shè)計思路,詳細(xì)討論了任意波形產(chǎn)生、頻率精確調(diào)整、雙路移相輸出、PWM調(diào)制波產(chǎn)生、D/A轉(zhuǎn)換與濾波電路、鍵盤與顯示等諸方面軟硬件實現(xiàn)方法。 整個設(shè)計
          • 關(guān)鍵字: DDS  任意波形發(fā)生器  FPGA  

          基于FPGA的全數(shù)字交流伺服系統(tǒng)信號處理

          • 在交流伺服驅(qū)動系統(tǒng)概念的基礎(chǔ)上,提出了基于ACTEL現(xiàn)場可編程邏輯器件APA300的光電編碼器與光柵尺信號處理電路設(shè)計原理,該電路由4倍頻細(xì)分、辨向電路、計數(shù)電路組成,信號處理模塊通過VHDL語言實現(xiàn)。
          • 關(guān)鍵字: 交流伺服系統(tǒng)  VHDL  FPGA  光柵尺信號處理  
          共9854條 89/657 |‹ « 87 88 89 90 91 92 93 94 95 96 » ›|

          fpga+dsp介紹

          您好,目前還沒有人創(chuàng)建詞條fpga+dsp!
          歡迎您創(chuàng)建該詞條,闡述對fpga+dsp的理解,并與今后在此搜索fpga+dsp的朋友們分享。    創(chuàng)建詞條

          熱門主題

          樹莓派    linux   
          關(guān)于我們 - 廣告服務(wù) - 企業(yè)會員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國際技術(shù)信息咨詢有限公司
          備案 京ICP備12027778號-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();