<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁  資訊  商機(jī)   下載  拆解   高校  招聘   雜志  會(huì)展  EETV  百科   問答  電路圖  工程師手冊(cè)   Datasheet  100例   活動(dòng)中心  E周刊閱讀   樣片申請(qǐng)
          EEPW首頁 >> 主題列表 >> fpga:quartusⅡ

          FPGA研發(fā)之道(10)架構(gòu)設(shè)計(jì)漫談(五)數(shù)字電路的靈魂-流水線

          •   流水線,最早為人熟知,起源于十九世紀(jì)初的福特汽車工廠,富有遠(yuǎn)見的福特,改變了那種人圍著汽車轉(zhuǎn)、負(fù)責(zé)各個(gè)環(huán)節(jié)的生產(chǎn)模式,轉(zhuǎn)變成了流動(dòng)的汽車組裝線和固定操作的人員。于是,工廠的一頭是不斷輸入的橡膠和鋼鐵,工廠的另一頭則是一輛輛正在下線的汽車。這種改變,不但提升了效率,更是拉開了工業(yè)時(shí)代大生產(chǎn)的序幕。   如今,這種模式常常應(yīng)用于數(shù)字電路的設(shè)計(jì)之中,與現(xiàn)在流驅(qū)動(dòng)的FPGA架構(gòu)不謀而合。舉例來說:某設(shè)計(jì)輸入為A種數(shù)據(jù)流,而輸出則是B種數(shù)據(jù)流,其流水架構(gòu)如下所示:    ?   每個(gè)模塊只
          • 關(guān)鍵字: FPGA  架構(gòu)設(shè)計(jì)  流水線  

          FPGA研發(fā)之道(9)架構(gòu)設(shè)計(jì)漫談(四)并行與復(fù)用

          •   FPGA其在眾多器件中能夠被工程師青睞的一個(gè)很重要的原因就是其強(qiáng)悍的處理能力。那如何能夠做到高速的數(shù)據(jù)處理,數(shù)據(jù)的并行處理則是其中一個(gè)很重要的方式。   數(shù)據(jù)的并行處理,從結(jié)構(gòu)上非常簡單,但是設(shè)計(jì)上卻是相當(dāng)復(fù)雜,對(duì)于現(xiàn)有的FPGA來說,雖然各種FPGA的容量都在增加,但是在有限的邏輯中達(dá)到更高的處理能力則是FPGA工程師面臨的挑戰(zhàn)。常用并行計(jì)算結(jié)構(gòu)如下圖所示:    ?   上圖中:前端處理單元負(fù)責(zé)將進(jìn)入數(shù)據(jù)信息,分配到多個(gè)計(jì)算單元中,圖中為3個(gè)計(jì)算單元(幾個(gè)根據(jù)所需的性能計(jì)算得
          • 關(guān)鍵字: FPGA  架構(gòu)設(shè)計(jì)  并行  

          FPGA研發(fā)之道(8)架構(gòu)設(shè)計(jì)漫談(三)時(shí)鐘和復(fù)位

          •   接口確定以后,F(xiàn)PGA內(nèi)部如何規(guī)劃?首先需要考慮就是時(shí)鐘和復(fù)位。   時(shí)鐘:根據(jù)時(shí)鐘的分類,可以分為邏輯時(shí)鐘,接口時(shí)鐘,存儲(chǔ)器時(shí)鐘等;   (1)邏輯時(shí)鐘取決與邏輯的關(guān)鍵路徑,最終值是設(shè)計(jì)和優(yōu)化的結(jié)果,從經(jīng)驗(yàn)而不是實(shí)際出發(fā):低端FPGA(cyclone spantan)工作頻率在40-80Mhz之間,而高端器件(stratix virtex)可達(dá)100-200Mhz之間,根據(jù)各系列的先后性能會(huì)有所提升,但不是革命性的。   (2)接口時(shí)鐘,異步信號(hào)的時(shí)序一般也是通過FPGA片內(nèi)同步邏輯產(chǎn)生,一般
          • 關(guān)鍵字: FPGA  架構(gòu)設(shè)計(jì)  復(fù)位  

          FPGA研發(fā)之道(7)架構(gòu)設(shè)計(jì)漫談(二)穩(wěn)定壓倒一切

          •   敏捷開發(fā)宣言中,有一條定律是“可以工作的軟件勝過面面俱到的文檔”。如何定義可可以工作的,這就是需求確定后架構(gòu)設(shè)計(jì)的首要問題。而大部分看這句話的同志更喜歡后半句,用于作為不寫文檔的借口。   FPGA的架構(gòu)設(shè)計(jì)最首先可以確定就是外接接口,就像以前說的,穩(wěn)定可靠的接口是成功的一半。接口的選擇需要考慮幾個(gè)問題。   1, 有無外部成熟IP。一般來說,ALTERA和XILINX都提供大量的接口IP,采用這些IP能夠提升研發(fā)進(jìn)度,但不同IP在不同F(xiàn)PGA上需要不同license,這個(gè)
          • 關(guān)鍵字: FPGA  ALTERA  XILINX  

          FPGA研發(fā)之道(6)架構(gòu)設(shè)計(jì)漫談(一)流驅(qū)動(dòng)和調(diào)用式

          •   勿用諱言,現(xiàn)在國內(nèi)FPGA開發(fā)還處于小作坊的開發(fā)階段,一般都是三、四個(gè)人,七八臺(tái)機(jī)器.小作坊如何也能做出大成果。這是每個(gè)FPGA工程師都要面臨的問題。架構(gòu)設(shè)計(jì)是面臨的第一關(guān)。經(jīng)常有這樣的項(xiàng)目,需求分析,架構(gòu)設(shè)計(jì)匆匆忙忙,號(hào)稱一兩個(gè)月開發(fā)完畢,實(shí)際上維護(hù)項(xiàng)目就花了一年半時(shí)間。主要包括幾個(gè)問題,一,性能不滿足需求。二,設(shè)計(jì)頻繁變更。三,系統(tǒng)不穩(wěn)定,調(diào)試問題不收斂。   磨刀不誤砍柴工,F(xiàn)PGA設(shè)計(jì)的需求分析是整個(gè)設(shè)計(jì)第一步。如何將系統(tǒng)的功能需求,轉(zhuǎn)換成FPGA的設(shè)計(jì)需求,是FPGA架構(gòu)設(shè)計(jì)的首要問題。首
          • 關(guān)鍵字: FPGA  架構(gòu)設(shè)計(jì)  SOPC  

          基于DDS的頻譜分析儀設(shè)計(jì)

          •   1 引言   直接數(shù)字頻率合成(DDS)是近幾年一種新型的頻率合成法,其具有頻率切換速度快,頻率分辨率高,以及便于集成等優(yōu)點(diǎn)。在此,設(shè)計(jì)了基于DDS的頻譜分析儀,該頻譜分析儀依據(jù)外差原理,被測(cè)信號(hào)與本征頻率混頻,實(shí)現(xiàn)信號(hào)的頻譜分析。   2 系統(tǒng)設(shè)計(jì)   圖1給出系統(tǒng)設(shè)計(jì)框圖,主要由本機(jī)振蕩電路、混頻電路、放大檢波電路、頻譜輸出顯示電路等組成。通過單片機(jī)和現(xiàn)場(chǎng)可編程門陣列(FPGA)共同控制AD985l,以產(chǎn)生正弦掃頻輸出信號(hào),然后經(jīng)濾波、程控放大得到穩(wěn)定輸出,與經(jīng)放大處理的被測(cè)信號(hào)混頻,再經(jīng)放
          • 關(guān)鍵字: DDS  FPGA  AD985l  

          基于FPGA的簡易頻譜分析儀

          •   1 引言   目前,由于頻譜分析儀價(jià)格昂貴,高等院校只是少數(shù)實(shí)驗(yàn)室配有頻譜儀。但電子信息類教學(xué),如果沒有頻譜儀輔助觀察,學(xué)生只能從書本中抽象理解信號(hào)特征,嚴(yán)重影響教學(xué)實(shí)驗(yàn)效果。   針對(duì)這種現(xiàn)狀提出一種基于FPGA的簡易頻譜分析儀設(shè)計(jì)方案,其優(yōu)點(diǎn)是成本低,性能指標(biāo)滿足教學(xué)實(shí)驗(yàn)所要求的檢測(cè)信號(hào)范圍。   2 設(shè)計(jì)方案   圖1為系統(tǒng)設(shè)計(jì)總體框圖。該系統(tǒng)采用C8051系列單片機(jī)中的 C8051F121作為控制器,CvcloneⅢ系列EP3C40F484C8型FPGA為數(shù)字信號(hào)算法處理單元。系統(tǒng)設(shè)計(jì)
          • 關(guān)鍵字: FPGA  頻譜分析儀  AD603  

          基于NIOS II的頻譜分析儀的設(shè)計(jì)與研制

          •   頻譜分析儀是微電子測(cè)量領(lǐng)域中最基礎(chǔ)、最重要的測(cè)量儀器之一,是從事各種電子產(chǎn)品研發(fā)、生產(chǎn)、檢驗(yàn)的重要工具。高分辨率、寬頻帶數(shù)字頻譜分析的方法和實(shí)現(xiàn)一直是該領(lǐng)域的研究熱點(diǎn)[1]?,F(xiàn)代頻譜分析儀是基于現(xiàn)代數(shù)字信號(hào)處理理論的頻譜分析儀,信號(hào)經(jīng)過前置預(yù)處理、抗混疊濾波、A/D變換、數(shù)字頻譜分析等環(huán)節(jié)而得到信號(hào)中的頻率分量, 達(dá)到與傳統(tǒng)頻譜分析儀同樣的結(jié)果。   本設(shè)計(jì)完全利用FPGA實(shí)現(xiàn)FFT,在FPGA上實(shí)現(xiàn)整個(gè)系統(tǒng)構(gòu)建。其中CPU選用Altera公司的Nios II軟核處理器進(jìn)行開發(fā), 硬件平臺(tái)關(guān)鍵模塊使
          • 關(guān)鍵字: NIOS II  頻譜分析儀  FPGA  

          基于FPGA的SPWM變頻系統(tǒng)設(shè)計(jì)與實(shí)現(xiàn)

          •   由于脈寬調(diào)制技術(shù)是通過調(diào)整輸出脈沖的頻率及占空比來實(shí)現(xiàn)輸出電壓的變壓變頻效果,所以在電機(jī)調(diào)速、逆變器等眾多領(lǐng)域得到了日益廣泛的應(yīng)用。   而電磁法作為一種地球物理探測(cè)的有效方法,已經(jīng)廣泛地應(yīng)用于礦藏勘探、地質(zhì)災(zāi)害預(yù)測(cè)等領(lǐng)域。電磁法儀一般包括發(fā)射機(jī)和接收機(jī)兩大部分?,F(xiàn)階段,電磁法儀器的發(fā)射機(jī)部分一般直接采用等寬PWM技術(shù),其電流諧波畸變率較大,電壓利用率不高,效率很低。   本文利用FPGA技術(shù),根據(jù)SPWM自然采樣法原理,設(shè)計(jì)了應(yīng)用于電磁法儀的發(fā)射機(jī)的SPWM系統(tǒng)。該系統(tǒng)應(yīng)用到現(xiàn)有的電磁法儀器中,
          • 關(guān)鍵字: FPGA  SPWM  Matlab  

          基于SOPC的SPWM脈沖發(fā)生器的實(shí)現(xiàn)

          •   隨著電力電子開關(guān)器件及技術(shù)的不斷發(fā)展,SPWM(正弦波脈寬調(diào)制)技術(shù)在逆變控制領(lǐng)域得到廣泛應(yīng)用。傳統(tǒng)的SPWM驅(qū)動(dòng)芯片速度慢、不夠靈活,存在著電路設(shè)計(jì)復(fù)雜、體積大、抗干擾能力差、設(shè)計(jì)周期長等缺點(diǎn),對(duì)于許多有特殊要求的場(chǎng)合,由專用芯片很難滿足實(shí)際的要求,因此,本文采用Altera公司的EP2C35F672C8N開發(fā)一種基于可編程片上系統(tǒng)的SPWM脈沖波形電路,SOPC技術(shù)將微處理器和SP-WM波形電路整合到一塊FPGA器件當(dāng)中??删幊痰钠舷到y(tǒng)SOPC(System 0n Programmable Ch
          • 關(guān)鍵字: SOPC  SPWM  FPGA  

          基于Verilog HDL的SPWM全數(shù)字算法的FPGA實(shí)現(xiàn)

          •   隨著信號(hào)處理技術(shù)及集成電路制造工藝的不斷發(fā)展,全數(shù)字化SPWM(正弦脈寬調(diào)制)算法在調(diào)速領(lǐng)域越來越受到青睞。實(shí)現(xiàn)SPWM控制算法的方法很多,其中模擬比較法因電路復(fù)雜、且不易與數(shù)字系統(tǒng)連接而很少采用;傳統(tǒng)的微處理器因不能滿足電機(jī)控制所要求的較高采樣頻率(≥1 kHz)而逐漸被高性能的DSP硬件系統(tǒng)所取代,但該系統(tǒng)成本高、設(shè)計(jì)復(fù)雜。與傳統(tǒng)方法相比,在現(xiàn)場(chǎng)可編程邏輯器件FPGA上產(chǎn)生一種新的SPWM控制算法,具有成本低、研發(fā)周期短、執(zhí)行速度高、可擴(kuò)展能力強(qiáng)等優(yōu)點(diǎn)。該技術(shù)進(jìn)一步推動(dòng)了變頻調(diào)速技術(shù)的發(fā)展。
          • 關(guān)鍵字: Verilog HDL  SPWM  FPGA  

          基于FPGA的工業(yè)以太網(wǎng)交換機(jī)設(shè)計(jì)優(yōu)化

          •   基于以太網(wǎng)的組網(wǎng)技術(shù)是工業(yè)市場(chǎng)中增長最快的技術(shù)之一。大多數(shù)工業(yè)以太網(wǎng)標(biāo)準(zhǔn)使用IEEE 802.3標(biāo)準(zhǔn)以太網(wǎng)協(xié)議,因此這些網(wǎng)絡(luò)能夠傳輸標(biāo)準(zhǔn)的網(wǎng)絡(luò)業(yè)務(wù)和實(shí)時(shí)數(shù)據(jù)。但每個(gè)標(biāo)準(zhǔn)都采用不同的技術(shù)來提供實(shí)時(shí)性能,一些采用定制硬件,一些利用定制軟件,還有的采用完全標(biāo)準(zhǔn)的以太網(wǎng)/TCP/IP實(shí)現(xiàn)。結(jié)果就出現(xiàn)了眾多不同等級(jí)性能、不同成本的互不兼容標(biāo)準(zhǔn)。   針對(duì)以太網(wǎng)協(xié)議非確定性通信時(shí)間的一個(gè)越來越普及的對(duì)策是在每個(gè)設(shè)備內(nèi)實(shí)現(xiàn)一個(gè)本地時(shí)鐘。由于大多數(shù)設(shè)備都有微處理器及(相對(duì))高速度的時(shí)鐘,因此這種方法比較容易實(shí)現(xiàn)。若
          • 關(guān)鍵字: FPGA  以太網(wǎng)  ASSP  

          FPGA研發(fā)之道(5)從零開始調(diào)試FPGA

          •   “合抱之木,生于毫末;九層之臺(tái),起于壘土;千里之行,始于足下?!?老子《道德經(jīng)》   對(duì)于新手來說,如何上手調(diào)試FPGA是關(guān)鍵的一步。   對(duì)于每一個(gè)新設(shè)計(jì)的FPGA板卡,也需要從零開始調(diào)試。   那么如何開始調(diào)試?   下面介紹一種簡易的調(diào)試方法。   (1) 至少設(shè)定一個(gè)輸入時(shí)鐘 input sys_clk;   (2) 設(shè)定輸出 output [N-1:0] led;   (3)設(shè)定32位計(jì)數(shù)器 reg [31:0] led_cnt;   (4) 時(shí)鐘驅(qū)動(dòng)
          • 關(guān)鍵字: FPGA  JTAG  CMOS  

          FPGA研發(fā)之道(4)靈活性的陷阱

          •   如果說用一個(gè)詞來描述FPGA的特性,靈活性肯定名列前茅。   FPGA的靈活性在于:   (一)I/O的靈活性,其可以通過其I/O組成各種接口與各種器件連接,并且支持不同的電氣特性。   (二)內(nèi)部存儲(chǔ)器靈活性,可以通過IP生成工具生成各種深度和寬度的RAM或者FIFO等。   (三)邏輯的靈活性,內(nèi)部邏輯通可生成的各種類型IP。   對(duì)于I/O接口來說,F(xiàn)PGA的I/O可以支持不同類型的電平和驅(qū)動(dòng)能力,各I/O未定義之前其地位平等,例如一個(gè)數(shù)據(jù)信號(hào)可將其約束在任意引腳,只要其電平符合連接的
          • 關(guān)鍵字: FPGA  RAM  FIFO  

          基于FPGA的SOC設(shè)計(jì)與實(shí)現(xiàn)

          •   為減少在印制電路板(PCB)設(shè)計(jì)中的面積開銷,介紹一種Flash結(jié)構(gòu)的現(xiàn) 場(chǎng)可編程門陣列(FPGA)器件,進(jìn)而介紹采用該器件搭建基于先進(jìn)精簡指令集機(jī)器(ARM)的片上系統(tǒng)(SOC)電路的設(shè)計(jì)方法,該方法按照高級(jí)微控制器總線架構(gòu)(AMBA),設(shè)計(jì)ARM7處理器微系統(tǒng)及其外設(shè)電路,通過用搭建的系統(tǒng)對(duì)片外存儲(chǔ)器進(jìn)行擦寫,以及通過編寫軟硬件代碼定制符合ARM7外圍低速總線協(xié)議的用戶邏輯外設(shè),驗(yàn)證了系統(tǒng)的準(zhǔn)確性,該系統(tǒng)可用于驗(yàn)證SOC設(shè)計(jì)系統(tǒng)。   近年來,SOC技術(shù)得到了快速的發(fā)展,逐漸 成為微電子行業(yè)的主
          • 關(guān)鍵字: FPGA  SOC  ARM7  
          共6368條 141/425 |‹ « 139 140 141 142 143 144 145 146 147 148 » ›|

          fpga:quartusⅡ介紹

          您好,目前還沒有人創(chuàng)建詞條fpga:quartusⅡ!
          歡迎您創(chuàng)建該詞條,闡述對(duì)fpga:quartusⅡ的理解,并與今后在此搜索fpga:quartusⅡ的朋友們分享。    創(chuàng)建詞條

          熱門主題

          FPGA:QuartusⅡ    樹莓派    linux   
          關(guān)于我們 - 廣告服務(wù) - 企業(yè)會(huì)員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機(jī)EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國際技術(shù)信息咨詢有限公司
          備案 京ICP備12027778號(hào)-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();