<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁(yè)  資訊  商機(jī)   下載  拆解   高校  招聘   雜志  會(huì)展  EETV  百科   問(wèn)答  電路圖  工程師手冊(cè)   Datasheet  100例   活動(dòng)中心  E周刊閱讀   樣片申請(qǐng)
          EEPW首頁(yè) >> 主題列表 >> fpga-nios

          Microsemi太陽(yáng)能技術(shù)產(chǎn)品系列開(kāi)始供貨

          •   致力實(shí)現(xiàn)智能、安全,以及互連世界的半導(dǎo)體技術(shù)領(lǐng)先供應(yīng)商─美高森美公司(Microsemi Corporation,紐約納斯達(dá)克交易所代號(hào):MSCC) 宣布其太陽(yáng)能技術(shù)產(chǎn)品系列現(xiàn)已供貨。美高森美面向可再生能源應(yīng)用的產(chǎn)品包括SmartFusion?和IGLOO? FPGA;模擬及混合信號(hào)器件如旁路二極管/開(kāi)關(guān)、MOSFET、FRED和IGBT;DC-DC轉(zhuǎn)換器,以及脈寬調(diào)制(PWM)模塊。
          • 關(guān)鍵字: Microsemi  太陽(yáng)能  FPGA  

          Synopsys推出HAPS?-600 系列

          •   全球領(lǐng)先的半導(dǎo)體設(shè)計(jì)、驗(yàn)證和制造軟件及知識(shí)產(chǎn)權(quán)(IP)供應(yīng)商新思科技有限公司(Synopsys, Inc., 納斯達(dá)克股票市場(chǎng)代碼:SNPS)日前宣布推出HAPS?-600 系列,這是其HAPS系列基于現(xiàn)場(chǎng)可編程門(mén)陣列(FPGA)原型驗(yàn)證系統(tǒng)中容量最高的一款產(chǎn)品。HAPS-600系列將基于FPGA的原型驗(yàn)證系統(tǒng)容量擴(kuò)展到高達(dá)相當(dāng)于8100萬(wàn)ASIC門(mén),從而確保了各種更大SoC項(xiàng)目的早期軟件開(kāi)發(fā)。
          • 關(guān)鍵字: Synopsys  FPGA  

          全球首個(gè)28nm FPGA 產(chǎn)品從賽靈思開(kāi)始發(fā)貨

          •   全球可編程平臺(tái)領(lǐng)導(dǎo)廠(chǎng)商賽靈思公司(Xilinx, Inc. (NASDAQ:XLNX))今天宣布,全球第一批Kintex?-7 325T 現(xiàn)場(chǎng)可編程門(mén)陣列(FPGA)開(kāi)始發(fā)貨,標(biāo)志著其7系列FPGA正式推出, 成為業(yè)界推出最快的28nm新一代可編程邏輯器件產(chǎn)品。Kintex-7 FPGA 將以最低的功耗提供最優(yōu)的性?xún)r(jià)比,以滿(mǎn)足主要應(yīng)用需求。
          • 關(guān)鍵字: 賽靈思  FPGA   

          賽靈思推出ISE13設(shè)計(jì)套件

          •   全球可編程平臺(tái)領(lǐng)導(dǎo)廠(chǎng)商賽靈思公司(Xilinx, Inc. (NASDAQ:XLNX))宣布推出 ISE? 13設(shè)計(jì)套件。這款屢獲殊榮的設(shè)計(jì)工具和 IP 套件新增了許多增強(qiáng)特性,可以提高片上系統(tǒng)(SoC) 設(shè)計(jì)團(tuán)隊(duì)的生產(chǎn)力,針對(duì) Spartan?-6、Virtex?-6 和 7 系列 FPGA 以及行業(yè)領(lǐng)先的容量高達(dá) 200 萬(wàn)個(gè)邏輯單元的 Virtex-7 2000T 器件,加速實(shí)現(xiàn)真正的即插即用 IP。針對(duì)減少開(kāi)發(fā)時(shí)間和成本,ISE 13設(shè)計(jì)套件引入了加速驗(yàn)證、支持 IP-
          • 關(guān)鍵字: 賽靈思  FPGA  

          基于FPGA的語(yǔ)音端點(diǎn)檢測(cè)

          • 基于FPGA的語(yǔ)音端點(diǎn)檢測(cè),語(yǔ)音端點(diǎn)檢測(cè)就是從背景噪聲中找到語(yǔ)音的起點(diǎn)和終點(diǎn),其目標(biāo)是要在一段輸入信號(hào)中將語(yǔ)音信號(hào)同其他信號(hào)(如背景噪聲)分離并且準(zhǔn)確地判斷出語(yǔ)音的端點(diǎn)。研究表明,即使在安靜的環(huán)境中,一半以上的語(yǔ)音識(shí)別系統(tǒng)識(shí)別錯(cuò)誤
          • 關(guān)鍵字: 檢測(cè)  語(yǔ)音  FPGA  基于  

          基于FPGA的AD9910控制設(shè)計(jì)

          • 為了滿(mǎn)足目前對(duì)數(shù)據(jù)處理速度的需求,設(shè)計(jì)了一種基于FPGA+DDS的控制系統(tǒng)。根據(jù)AD9910的特點(diǎn)設(shè)計(jì)了控制系統(tǒng)的硬件部分,詳細(xì)闡述了電源、地和濾波器的設(shè)計(jì)。設(shè)計(jì)了FPGA的軟件控制流程,給出了流程圖和關(guān)鍵部分的例程,并對(duì)DDS AD9910各個(gè)控制寄存器的設(shè)置與時(shí)序進(jìn)行詳細(xì)說(shuō)明,最后給出了實(shí)驗(yàn)結(jié)果。實(shí)驗(yàn)結(jié)果證明輸出波形質(zhì)量高、效果好。對(duì)于頻率源的設(shè)計(jì)與實(shí)現(xiàn)具有工程實(shí)踐意義。
          • 關(guān)鍵字: FPGA  9910  AD  控制設(shè)計(jì)    

          基于FPGA的跳擴(kuò)頻信號(hào)發(fā)送系統(tǒng)設(shè)計(jì)

          • 提出一種基于FPGA的跳擴(kuò)頻信號(hào)發(fā)送系統(tǒng)設(shè)計(jì)方案,系統(tǒng)硬件以FPGA為核心,將基帶處理和中頻調(diào)制完全集成在FPGA芯片內(nèi)部,采用新型的高速DDS(Direct Digital Syntlaesis)AD9951芯片和高速數(shù)模轉(zhuǎn)換器來(lái)輔助電路完成信號(hào)的產(chǎn)生和發(fā)送。介紹了系統(tǒng)軟件控制流程,以及系統(tǒng)設(shè)計(jì)中關(guān)鍵技術(shù)的研究與實(shí)現(xiàn)。系統(tǒng)軟件利用QuanusⅡ8.0開(kāi)發(fā)平臺(tái),使用VHDL語(yǔ)言設(shè)計(jì)實(shí)現(xiàn)。借助Matlab和Multisire 10.1高頻電路仿真軟件分析和優(yōu)化系統(tǒng)。系統(tǒng)采用數(shù)字化的相對(duì)相移鍵控(DQPSK)
          • 關(guān)鍵字: FPGA  擴(kuò)頻信號(hào)  發(fā)送  系統(tǒng)設(shè)計(jì)    

          基于FPGA的高速數(shù)據(jù)處理系統(tǒng)設(shè)計(jì)

          • 針對(duì)光纖微擾動(dòng)傳感器的高速數(shù)據(jù)處理問(wèn)題,設(shè)計(jì)一種以XC4VSX25為核心,具有數(shù)據(jù)采集功能、存儲(chǔ)功能、LCD顯示功能和USB通信功能的系統(tǒng)。利用XC4VSX25帶有的XtremeDSP IP核,通過(guò)并行運(yùn)算解決高速實(shí)時(shí)數(shù)據(jù)處理問(wèn)題,并且通過(guò)Verilog HDL語(yǔ)言設(shè)計(jì)串行結(jié)構(gòu)和并行結(jié)構(gòu),并在ModelSim中對(duì)兩種結(jié)構(gòu)進(jìn)行仿真比較。結(jié)果表明,本系統(tǒng)中并型結(jié)構(gòu)的計(jì)算速度是正比于并行度的,可以提高系統(tǒng)處理速度。
          • 關(guān)鍵字: FPGA  高速數(shù)據(jù)  處理系統(tǒng)    

          基于FPGA的高階音頻均衡濾波器設(shè)計(jì)

          • 摘要:文中設(shè)計(jì)的均衡濾波器充分利用FPGA內(nèi)部資源、時(shí)間換取空間的方法,在EP1C3系列的FPGA內(nèi)實(shí)現(xiàn)1 024階FIR數(shù)字均衡濾波器,并通過(guò)重載系數(shù),可實(shí)現(xiàn)多種頻率響應(yīng)的均衡特性、簡(jiǎn)易數(shù)字均衡濾波器的功能,達(dá)到了設(shè)計(jì)目
          • 關(guān)鍵字: FPGA  音頻  均衡  濾波器設(shè)計(jì)    

          賽靈思和Synopsys聯(lián)手推出業(yè)界首部開(kāi)發(fā)方法手冊(cè)

          •   全球可編程邏輯解決方案領(lǐng)先廠(chǎng)商賽靈思公司(NASDAQ:XLNX))今日宣布與全球半導(dǎo)體設(shè)計(jì)、驗(yàn)證和制造領(lǐng)域的軟件及 IP 領(lǐng)先廠(chǎng)商Synopsys公司(NASDAQ:SNPS)聯(lián)手推出《 FPGA的原型開(kāi)發(fā)方法手冊(cè)》(FPMM),這是一本介紹如何使用 FPGA 作為平臺(tái)進(jìn)行片上系統(tǒng)(SoC)開(kāi)發(fā)的實(shí)用指南。FPMM 收錄了眾多公司的設(shè)計(jì)團(tuán)隊(duì)在設(shè)計(jì)和驗(yàn)證方面的寶貴經(jīng)驗(yàn)。
          • 關(guān)鍵字: 賽靈思   FPGA   

          大規(guī)?,F(xiàn)場(chǎng)可編程門(mén)陣列(FPGA)開(kāi)發(fā)系統(tǒng)電源設(shè)計(jì)研究

          NI發(fā)布全新 LabWindows?/CVI 2010

          •   美國(guó)國(guó)家儀器有限公司(National Instruments,簡(jiǎn)稱(chēng)NI)近日發(fā)布了全新NI LabWindows/CVI 2010,該軟件可基于驗(yàn)證過(guò)的ANSI C測(cè)試測(cè)量軟件平臺(tái),提供更高的開(kāi)發(fā)效率,并簡(jiǎn)化FPGA通信的復(fù)雜度。此外,NI還發(fā)布了LaWindows/CVI 2010 Linux Run-Time模塊和LabWindows/CVI 2010實(shí)時(shí)模塊,可擴(kuò)展開(kāi)發(fā)環(huán)境至Linux和實(shí)時(shí)操作系統(tǒng)中。
          • 關(guān)鍵字: NI  FPGA  

          28納米FPGA: 降低功耗 提高帶寬

          •   低功耗和高帶寬是下一代高端設(shè)計(jì)的兩個(gè)主要需求。對(duì)全球范圍多個(gè)應(yīng)用領(lǐng)域的調(diào)研表明,以相同甚至更低功耗及成本來(lái)實(shí)現(xiàn)更大的帶寬已成為大勢(shì)所趨?,F(xiàn)在應(yīng)對(duì)帶寬不斷增長(zhǎng)的技術(shù)是演進(jìn)中的40G和100G系統(tǒng)(以及即將出現(xiàn)的400G系統(tǒng))。設(shè)計(jì)下一代FPGA來(lái)滿(mǎn)足目前對(duì)寬帶和低功耗需求的難度越來(lái)越大。  
          • 關(guān)鍵字: Altera  FPGA  

          基于NIOS II的多串口數(shù)據(jù)通信的實(shí)現(xiàn)

          • 串口傳輸常用于基于FPGA和DSP結(jié)構(gòu)的信號(hào)處理板和外部設(shè)備之間的數(shù)據(jù)交換。以GPS RTK定位應(yīng)用為基礎(chǔ),針對(duì)單個(gè)串口全雙工傳輸不足以應(yīng)對(duì)多種數(shù)據(jù)類(lèi)型同時(shí)輸入輸出的情形,設(shè)計(jì)并實(shí)現(xiàn)了一種面向多串口不同類(lèi)型數(shù)據(jù)的傳輸方案。該方案通過(guò)增加串口控制寄存器實(shí)現(xiàn)單個(gè)中斷信號(hào)即可控制所有串口,采用乒乓交替讀寫(xiě)實(shí)現(xiàn)數(shù)據(jù)持續(xù)高速輸入。測(cè)試表明該方案可獨(dú)立對(duì)各串口進(jìn)行配置,可同時(shí)實(shí)現(xiàn)GPS定位結(jié)果、差分GPS修正數(shù)據(jù)與外界的交換以及用戶(hù)控制命令的輸入,并且可減少硬件調(diào)試時(shí)間,節(jié)約硬件資源。
          • 關(guān)鍵字: 數(shù)據(jù)通信  實(shí)現(xiàn)  串口  II  NIOS  基于  

          基于FPGA的CMI編碼系統(tǒng)設(shè)計(jì)

          • 摘要:提出了一種基于FPGA并利用Verilog HDL實(shí)現(xiàn)的CMI編碼設(shè)計(jì)方法。研究了CMI碼型的編碼特點(diǎn),提出了利用Altera公司CycloneⅡ系列EP2C5Q型號(hào)FPGA完成CMI編碼功能的方案。在系統(tǒng)程序設(shè)計(jì)中,首先產(chǎn)生m序列,然后程序
          • 關(guān)鍵字: FPGA  CMI  編碼  系統(tǒng)設(shè)計(jì)    
          共6475條 283/432 |‹ « 281 282 283 284 285 286 287 288 289 290 » ›|

          fpga-nios介紹

          您好,目前還沒(méi)有人創(chuàng)建詞條fpga-nios!
          歡迎您創(chuàng)建該詞條,闡述對(duì)fpga-nios的理解,并與今后在此搜索fpga-nios的朋友們分享。    創(chuàng)建詞條

          熱門(mén)主題

          樹(shù)莓派    linux   
          關(guān)于我們 - 廣告服務(wù) - 企業(yè)會(huì)員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機(jī)EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國(guó)際技術(shù)信息咨詢(xún)有限公司
          備案 京ICP備12027778號(hào)-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();