<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁  資訊  商機(jī)   下載  拆解   高校  招聘   雜志  會展  EETV  百科   問答  電路圖  工程師手冊   Datasheet  100例   活動中心  E周刊閱讀   樣片申請
          EEPW首頁 >> 主題列表 >> fpga-nios

          基于FPGA 的衛(wèi)星便攜站的同步數(shù)字復(fù)接器的設(shè)計

          • 在便攜式數(shù)字衛(wèi)星通信系統(tǒng)中,為了擴(kuò)大傳輸容量和提高傳輸效率,滿足同時傳輸幾種業(yè)務(wù)的需求,通常采用時分復(fù)用的方法,將若干個低速數(shù)字碼流按一定格式合并成一個高速數(shù)據(jù)碼流,以便在一條信道中傳輸,使各個業(yè)務(wù)信
          • 關(guān)鍵字: FPGA  衛(wèi)星  便攜  數(shù)字復(fù)接器    

          根升余弦脈沖成形濾波器FPGA實現(xiàn)

          • 摘要:提出了基于電路分割技術(shù)實現(xiàn)通信系統(tǒng)發(fā)送端根升余弦波形成形濾波器查表法的FPGA結(jié)構(gòu),節(jié)省了ROM單元,討論了其ROM初始化時形波數(shù)據(jù)的組織方法,完成了該結(jié)構(gòu)的VHDL實現(xiàn),給出了該設(shè)計在Modelsim環(huán)境下的時序仿
          • 關(guān)鍵字: FPGA  脈沖  成形濾波器    

          市場需求推動FPGA、CPU、DSP走向融合

          •   實際上,推動某項或幾項技術(shù)發(fā)展方向的真正動力是市場與技術(shù)的綜合因素,技術(shù)本身或內(nèi)在的發(fā)展慣性并不是最重要的,或者說并非唯一決定性因素。   
          • 關(guān)鍵字: FPGA  DSP  

          Altera開始提供業(yè)界第一款集成EFEC解決方案

          •   Altera公司日前宣布,開始提供業(yè)界第一款集成增強(qiáng)前向糾錯(EFEC) IP內(nèi)核,該內(nèi)核針對高性能Stratix IV和Stratix V系列FPGA進(jìn)行了優(yōu)化。EFEC7和EFEC20是Altera Newfoundland技術(shù)中心 (以前的Avalon Microelectronics) 開發(fā)的多維IP內(nèi)核,專門面向城域和長距離光傳送網(wǎng)(OTN)等100G應(yīng)用而設(shè)計。 
          • 關(guān)鍵字: Altera  FPGA  

          基于FPGA的大動態(tài)數(shù)控AGC系統(tǒng)設(shè)計

          • 隨著軟件無線電技術(shù)和FPGA、DSP、AD 等技術(shù)的高速發(fā)展,數(shù)字接收機(jī)的應(yīng)用日益廣泛。為了擴(kuò)大數(shù)字接收機(jī)的ADC 動態(tài)范圍,廣泛采用了自動增益控制(AGC) ,使接收機(jī)的增益隨著信號的強(qiáng)弱進(jìn)行調(diào)整,其性能的好壞直接
          • 關(guān)鍵字: FPGA  AGC  動態(tài)  數(shù)控    

          基于FPGA的視頻采集與顯示模塊設(shè)計

          • 本文給出的視頻采集和顯示模塊在設(shè)計時,選取分辨率為768times;494像素的NTSC制式, 并選用輸出像素為640times;480的CCD攝像頭; FPGA選取Altera CyclONeⅡ系列Ep2c35F672c36 (內(nèi)含35000個邏輯單元); 主動串行配
          • 關(guān)鍵字: FPGA  視頻采集  顯示模塊    

          基于FPGA的測角脈沖細(xì)分電路的設(shè)計

          • 摘要:對傳統(tǒng)的數(shù)字化轉(zhuǎn)角測量方法進(jìn)行了簡要介紹,提出了一種能夠提高測角分辨率的脈沖細(xì)分技術(shù),并結(jié)合激光陀螺輸出信號對該方法進(jìn)行了誤差分析。接著利用FPGA對此項技術(shù)進(jìn)行了硬件實現(xiàn),具體描述了電路各部分的工
          • 關(guān)鍵字: FPGA  脈沖  電路    

          基于FPGA和DDS技術(shù)的任意波形發(fā)生器設(shè)計

          • 摘要:根據(jù)現(xiàn)代電子系統(tǒng)對信號源的頻率穩(wěn)定度、準(zhǔn)確度及分辨率越來越高的要求,結(jié)合直接數(shù)字式頻率合成器(DDS)的優(yōu)點,利用FPGA芯片的可編程性和實現(xiàn)方案易改動的特點,提出了一種基于FPGA和DDS技術(shù)的任意波形發(fā)生器
          • 關(guān)鍵字: FPGA  DDS  任意波形發(fā)生器    

          基于FPGA與VHDL的微型打印機(jī)的驅(qū)動設(shè)計

          • 摘要:為了取代傳統(tǒng)利用單片機(jī)驅(qū)動微型打印機(jī),使用Altera公司的FPGA芯片EP3C225Q240C8N設(shè)計驅(qū)動打印機(jī)的硬件控制電路,并正確控制微型打印機(jī)的工作時序。軟件使用硬件描述語言VHDL實現(xiàn)對微型打印機(jī)的時序控制,并通
          • 關(guān)鍵字: FPGA  VHDL  微型打印機(jī)  驅(qū)動設(shè)計    

          基于FPGA的數(shù)字示波器

          • 摘要:提出一種基于FPGA的簡易數(shù)字示波器設(shè)計方法,硬件上采用以Altera公司的EP2C8Q208CN現(xiàn)場可編程門陣列芯片作為核心器件,同時結(jié)合FPGA和NIOS軟核的優(yōu)勢,設(shè)計高效的片上可編程系統(tǒng)(SoPC)對高速A/D所采集的數(shù)據(jù)進(jìn)
          • 關(guān)鍵字: FPGA  數(shù)字示波器    

          基于FPGA的FFT算法硬件實現(xiàn)

          • 設(shè)計了一種基于FPGA的1024點16位FFT算法,采用了基4蝶形算法和流水線處理方式,提高了系統(tǒng)的處理速度,改善了系統(tǒng)的性能。提出了先進(jìn)行前一級4點蝶形運算,再進(jìn)行本級與旋轉(zhuǎn)因子復(fù)乘運算的結(jié)構(gòu)。合理地利用了硬件資源。對系統(tǒng)劃分的各個模塊使用Verilog HDL進(jìn)行編碼設(shè)計。對整個系統(tǒng)整合后的代碼進(jìn)行功能驗證之后,采用QuartusⅡ與Matlab進(jìn)行聯(lián)合仿真,其結(jié)果是一致的。該系統(tǒng)既有DSP器件實現(xiàn)的靈活性又有專用FFT芯片實現(xiàn)的高速數(shù)據(jù)吞吐能力,在數(shù)字信號處理領(lǐng)域有廣泛應(yīng)用。
          • 關(guān)鍵字: FPGA  FFT  算法  硬件實現(xiàn)    

          基于FPGA的跳頻通信頻率合成器實現(xiàn)

          • 摘要:介紹了一種基于ARM平臺、以太網(wǎng)和GPRS無線通信技術(shù)的智能家居遠(yuǎn)程監(jiān)控系統(tǒng),給出了系統(tǒng)的組成及工作原理,著重闡述了系統(tǒng)主要硬件和軟件的設(shè)計。智能家居遠(yuǎn)程監(jiān)控系統(tǒng)的核心是嵌入式Web服務(wù)器。通過該嵌入式We
          • 關(guān)鍵字: FPGA  跳頻通信  頻率合成器    

          用CPLD和Flash實現(xiàn)FPGA配置

          • 摘要:FPGA可以通過串行接口進(jìn)行配置。本文對傳統(tǒng)的配置方法進(jìn)行了研究,并從更新配置文件的方法入手,提出了利用處理機(jī)通過網(wǎng)絡(luò)更新的方法,給出了一個用CPLD和Flash對FPGA進(jìn)行配置的應(yīng)用實例。
            關(guān)鍵詞:現(xiàn)場可編程
          • 關(guān)鍵字: Flash  CPLD  FPGA    

          基于FPGA的擴(kuò)頻測距快速捕獲仿真研究

          • 分析了擴(kuò)頻測距理論原理與優(yōu)勢,給出了一種基于FPGA的快速擴(kuò)頻測距模型。通過運用FFT IP Core計算收發(fā)序列間的互相關(guān)函數(shù),可以實現(xiàn)快速捕獲。仿真結(jié)果表明,該方法具有速度快、誤差小、設(shè)計靈活、效率高的特點。
          • 關(guān)鍵字: FPGA  擴(kuò)頻  快速捕獲  仿真研究    

          基于FPGA的32位ALU軟核設(shè)計

          • 介紹了一種基于可編程邏輯器件FPGA和硬件描述語言VHDL的32位ALU的設(shè)計方法。該ALU采取層次化設(shè)計方法,由控制模塊、邏輯模塊、加減法模塊、乘法模塊和除法模塊組成,能實現(xiàn)32位有符號數(shù)和無符號數(shù)的加減乘除運算,另外還能實現(xiàn)9種邏輯運算、6種移位運算以及高低字節(jié)內(nèi)容互換。該ALU在QuartuslI軟件環(huán)境下進(jìn)行了功能仿真,通過驗證表明,所設(shè)計的ALU完全正確,可供直接調(diào)用。
          • 關(guān)鍵字: FPGA  ALU  軟核    
          共6475條 285/432 |‹ « 283 284 285 286 287 288 289 290 291 292 » ›|

          fpga-nios介紹

          您好,目前還沒有人創(chuàng)建詞條fpga-nios!
          歡迎您創(chuàng)建該詞條,闡述對fpga-nios的理解,并與今后在此搜索fpga-nios的朋友們分享。    創(chuàng)建詞條

          熱門主題

          樹莓派    linux   
          關(guān)于我們 - 廣告服務(wù) - 企業(yè)會員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機(jī)EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國際技術(shù)信息咨詢有限公司
          備案 京ICP備12027778號-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();