<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁  資訊  商機(jī)   下載  拆解   高校  招聘   雜志  會展  EETV  百科   問答  電路圖  工程師手冊   Datasheet  100例   活動中心  E周刊閱讀   樣片申請
          EEPW首頁 >> 主題列表 >> fpga-pwm

          一款用DSP+FPGA實(shí)現(xiàn)的數(shù)字相關(guān)器

          • 引 言數(shù)字相關(guān)器作為軟件無線電的典型應(yīng)用,在擴(kuò)頻通信中成為必不可少的技術(shù)。在傳統(tǒng)的擴(kuò)頻通信中,采用模擬器件(如:聲表面波器) 來實(shí)現(xiàn)解擴(kuò)單元,而用數(shù)字相關(guān)器可以增加系統(tǒng)的靈活性和穩(wěn)定性,因此,對數(shù)字相關(guān)器
          • 關(guān)鍵字: 相關(guān)  數(shù)字  實(shí)現(xiàn)  FPGA  DSP  

          DSP系統(tǒng)中PWM通道實(shí)現(xiàn)D/A轉(zhuǎn)換精度的分析方法

          • DSP系統(tǒng)中PWM通道實(shí)現(xiàn)D/A轉(zhuǎn)換精度的分析方法,以PWM作為D/A的功能接口,分析了不同電路對轉(zhuǎn)換精度的影響,提出了以PWM接口輸出經(jīng)濾波電路獲得的精度與電路結(jié)構(gòu)及參數(shù)之間關(guān)系的分析方法,初步確定了理論上分析轉(zhuǎn)換精度的步驟與方法。并以TMS320F2812為例對其PWM通道實(shí)現(xiàn)D/A轉(zhuǎn)換擴(kuò)展功能的精度做了實(shí)例計(jì)算,驗(yàn)證了理論分析的可行性與準(zhǔn)確性。為磁懸浮數(shù)字控制系統(tǒng)的設(shè)計(jì)與預(yù)知其設(shè)計(jì)精度之間的關(guān)聯(lián)提供了理論基礎(chǔ),可以為相關(guān)設(shè)計(jì)提供借鑒與參考。
          • 關(guān)鍵字: 轉(zhuǎn)換  精度  分析  方法  D/A  實(shí)現(xiàn)  系統(tǒng)  PWM  通道  DSP  

          光纖實(shí)現(xiàn)功率驅(qū)動PWM的遠(yuǎn)距離傳送

          • 在高壓變頻器中,為解決單元串聯(lián)多電平高壓變頻器中主控系統(tǒng)與功率單元之間存在的強(qiáng)弱電隔離,及功率單元與功率單元之間的電磁干擾問題,提出了采用光纖連接方法實(shí)現(xiàn)功率驅(qū)動PWM信號的遠(yuǎn)距離傳送。  背景  在冶金
          • 關(guān)鍵字: PWM  光纖  功率驅(qū)動  遠(yuǎn)距離傳送    

          Altera演示第一款基于模型的FPGA浮點(diǎn)DSP工具

          •   Altera公司日前演示了使用FPGA的浮點(diǎn)DSP新設(shè)計(jì)流程,這是業(yè)界第一款基于模型的浮點(diǎn)設(shè)計(jì)工具,支持在FPGA中實(shí)現(xiàn)復(fù)數(shù)浮點(diǎn)DSP算法。伯克萊設(shè)計(jì)技術(shù)公司 (Berkeley Design Technology, Inc, BDTI) 進(jìn)行的獨(dú)立分析驗(yàn)證了能夠在Altera 的Stratix 和Arria FPGA系列中簡單方便的高效實(shí)現(xiàn)高性能浮點(diǎn)DSP設(shè)計(jì)。   
          • 關(guān)鍵字: Altera  FPGA  

          應(yīng)用于除顫器的FPGA解決方案

          • 越來越多的人們認(rèn)識到當(dāng)心臟病患者的心臟驟停時(shí),快速及時(shí)的救治能夠帶來很大的好處。這促使更多公共場所和辦...
          • 關(guān)鍵字: FPGA  除顫器  

          一種基于Spartan3E的DDS優(yōu)化設(shè)計(jì)

          基于ARM和FPGA架構(gòu)的三維圖形加速系統(tǒng)

          • 引言隨著圖形處理的巨額運(yùn)算量,CPU變得不堪重負(fù)。此時(shí),需要使用特定的硬件設(shè)備來為嵌入式CPU承擔(dān)圖形處...
          • 關(guān)鍵字: FPGA  圖形加速  

          DSP系統(tǒng)中PWM通道實(shí)現(xiàn)DA轉(zhuǎn)換精度的分析方法

          • 摘要:以PWM作為D/A的功能接口,分析了不同電路對轉(zhuǎn)換精度的影響,提出了以PWM接口輸出經(jīng)濾波電路獲得的精度...
          • 關(guān)鍵字: PWM  DA轉(zhuǎn)換  精度分析  

          為參加2011 Digilent Design Contest的中國代表團(tuán)壯行

          • ??????? 2011年9月12日,中秋月圓之夜,參加 2011 DDC? 德國總決賽的中國代表團(tuán)整裝待發(fā),即將登機(jī)遠(yuǎn)赴德國慕尼黑參加DDC總決賽,與來自世界各地的16支隊(duì)伍進(jìn)行角逐。讓我們?yōu)樗麄儔研校☆A(yù)祝他們?nèi)〉煤贸煽儯? ??????
          • 關(guān)鍵字: Digilent  FPGA  

          詳解太陽能充電控制器及其設(shè)計(jì)要點(diǎn)

          • 眾所周知,太陽能電池板有一個(gè)IV曲線,它表示該太陽能電池板的輸出性能,分別代表著電流電壓數(shù)值。兩條線的交...
          • 關(guān)鍵字: 太陽能  充電控制器  輻照度  PWM  

          基于FPGA的SoC驗(yàn)證平臺實(shí)現(xiàn)電路仿真?zhèn)慑e(cuò)

          • 基于FPGA的SoC驗(yàn)證平臺實(shí)現(xiàn)電路仿真?zhèn)慑e(cuò),臺灣工業(yè)技術(shù)研究院提出一種能夠顯著提升客制化FPGA原型板驗(yàn)證效率的創(chuàng)新方法,自動化現(xiàn)有的電路仿真(in-circuit emulation)偵錯(cuò)功能,并提供更高的FPGA能見度。這個(gè)以FPGA為基礎(chǔ)的SoC驗(yàn)證平臺對工研院而言是前景看好
          • 關(guān)鍵字: 實(shí)現(xiàn)  電路  仿真  平臺  驗(yàn)證  FPGA  SoC  基于  

          基于FPGA的存儲測試系統(tǒng)的設(shè)計(jì)

          • 摘要:針對某些特殊的測試試驗(yàn)要求測試系統(tǒng)高性能、微體積、低功耗,在存儲測試?yán)碚摶A(chǔ)上,進(jìn)行了動態(tài)存儲測試系統(tǒng)的FPGA設(shè)計(jì)。介紹了該系統(tǒng)的組成,對控制模塊進(jìn)行了詳細(xì)設(shè)計(jì)。針對測試環(huán)境的多樣性設(shè)計(jì)了采樣策略
          • 關(guān)鍵字: FPGA  存儲測試  系統(tǒng)    

          基于Flash型FPGA的信號源卡設(shè)計(jì)

          • 摘要:介紹了一種基于Flash型FPGA的多路模擬重信號源設(shè)計(jì)方法,該系統(tǒng)以ACTEL公司的A3P125VQ100芯片為核心,實(shí)現(xiàn)了系統(tǒng)的軟硬件結(jié)合。它包括數(shù)模轉(zhuǎn)換單元、電源模塊、多路模擬開關(guān)模塊以及運(yùn)算放大單元等,實(shí)現(xiàn)了電源
          • 關(guān)鍵字: Flash  FPGA  信號源    

          基于FPGA的高速數(shù)據(jù)采集系統(tǒng)設(shè)計(jì)

          • 摘要:為了在提高數(shù)據(jù)采集卡的速度的同時(shí)降低成本,設(shè)計(jì)了一種應(yīng)用流水線存儲技術(shù)的數(shù)據(jù)采集系統(tǒng)。該系統(tǒng)應(yīng)用軟件與硬件相結(jié)合的方式來控制實(shí)現(xiàn),通過MAX1308模數(shù)轉(zhuǎn)換器完成ADC的轉(zhuǎn)化過程,采用多片Nandflash流水線
          • 關(guān)鍵字: FPGA  高速數(shù)據(jù)  采集  系統(tǒng)設(shè)計(jì)    

          FPGA配置模式

          • FPGA配置模式,FPGA有多種配置模式:并行主模式為一片F(xiàn)PGA加一片EPROM的方式;主從模式可以支持一片PROM編程多片F(xiàn)PGA;串行模式可以采用串行PROM編程FPGA;外設(shè)模式可以將FPGA作為微處理器的外設(shè),由微處理器對其編程?! ∪绾螌?shí)現(xiàn)快
          • 關(guān)鍵字: 模式  配置  FPGA  
          共7201條 293/481 |‹ « 291 292 293 294 295 296 297 298 299 300 » ›|

          fpga-pwm介紹

          您好,目前還沒有人創(chuàng)建詞條fpga-pwm!
          歡迎您創(chuàng)建該詞條,闡述對fpga-pwm的理解,并與今后在此搜索fpga-pwm的朋友們分享。    創(chuàng)建詞條

          熱門主題

          樹莓派    linux   
          關(guān)于我們 - 廣告服務(wù) - 企業(yè)會員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機(jī)EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國際技術(shù)信息咨詢有限公司
          備案 京ICP備12027778號-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();