<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁  資訊  商機   下載  拆解   高校  招聘   雜志  會展  EETV  百科   問答  電路圖  工程師手冊   Datasheet  100例   活動中心  E周刊閱讀   樣片申請
          EEPW首頁 >> 主題列表 >> fpga-pwm

          FPGA芯片結(jié)構(gòu)分析

          • FPGA芯片結(jié)構(gòu)分析,目前主流的FPGA仍是基于查找表技術(shù)的,已經(jīng)遠遠超出了先前版本的基本性能,并且整合了常用功能(如RAM、時鐘管理和DSP)的硬核(ASIC型)模塊。如圖1-1所示(注:圖1-1只是一個示意圖,實際上每一個系列的FPGA都有其相應(yīng)的
          • 關(guān)鍵字: 分析  結(jié)構(gòu)  芯片  FPGA  

          現(xiàn)代FPGA設(shè)計的能源優(yōu)化方案

          • 現(xiàn)代FPGA設(shè)計的能源優(yōu)化方案,引言  減少FPGA的功耗可帶來許多好處,如提高可靠性、降低冷卻成本、簡化電源和供電方式、延長便攜系統(tǒng)的電池壽命等。無損于性能的低功耗設(shè)計 既需要有高功率效率的FPGA架構(gòu),也需要有能駕馭架構(gòu)組件的良好設(shè)計規(guī)范
          • 關(guān)鍵字: 優(yōu)化  方案  能源  設(shè)計  FPGA  現(xiàn)代  

          TMS320C61416控制FPGA數(shù)據(jù)加載設(shè)計

          • TMS320C61416控制FPGA數(shù)據(jù)加載設(shè)計,本文提出了采用通過市面上常見的Flash ROM芯片替代專用PROM的方式,通過DSP的外部高速總線進行FPGA加載;既節(jié)約了系統(tǒng)成本,也能達到FPGA上電迅速加載的目的;特別適用于在FPGA調(diào)試后期,待固化程序的階段。下面以兩片Xilinx公司Virtex-4系列XC4VLX60芯片為例,詳細介紹采用TI公司的TMS320C61416 DSP控制FPGA芯片數(shù)據(jù)加載的軟硬件設(shè)計。
          • 關(guān)鍵字: 加載  設(shè)計  數(shù)據(jù)  FPGA  控制  TMS320C61416  

          可擴展動態(tài)重配置的新型FPGA平臺設(shè)計

          • 可擴展動態(tài)重配置的新型FPGA平臺設(shè)計,新型 FPGA 平臺具有高度的靈活性和可擴展性,且集成度高,能夠在單個或兩個芯片上集成一個完整的異構(gòu)動態(tài)運算系統(tǒng)?! ∽赃m應(yīng)硬件在諸如導(dǎo)彈電子和軟件無線電等功耗和系統(tǒng)尺寸有限,同時對環(huán)境高度敏感的應(yīng)用中非常
          • 關(guān)鍵字: 平臺  設(shè)計  FPGA  新型  動態(tài)  配置  擴展  

          基于PWM技術(shù)的數(shù)控恒流源電路設(shè)計

          • 現(xiàn)今,電源設(shè)備有朝著數(shù)字化方向發(fā)展的趨勢。然而絕大多數(shù)數(shù)控電源設(shè)計是通過高位數(shù)的A/D和D/A芯片來實現(xiàn)的,這雖然能獲得較高的精度,但也使得成本大為增加。本文介紹一種基于AVR單片機PWM功能的低成本高精度數(shù)控恒
          • 關(guān)鍵字: 電路設(shè)計  數(shù)控  技術(shù)  PWM  基于  

          單片機定時/計數(shù)器設(shè)計輸出PWM

          • 一、定時/計數(shù)器PWM設(shè)計要點  根據(jù)PWM是利用微處理器的數(shù)字輸出來對模擬電路進行控制的特點,在使用ATmega128的定時/計數(shù)器設(shè)計輸出PWM時應(yīng)注意以下幾點:
              1.首先應(yīng)根據(jù)實際的情況,確定需要輸出的PWM頻率范
          • 關(guān)鍵字: 輸出  PWM  設(shè)計  計數(shù)器  定時  單片機  

          Altera樹立新里程碑發(fā)布首款28nmFPGA開發(fā)套件

          •   Altera公司日前宣布開始提供第一款帶有28-nm FPGA的開發(fā)套件——Stratix V GX FPGA信號完整性套件,在推動業(yè)界28-nm FPGA發(fā)展方面樹立了新里程碑。這一全功能套件支持設(shè)計工程師加速高性能系統(tǒng)的設(shè)計和開發(fā),滿足了業(yè)界對提高帶寬的需求。Stratix V GX FPGA信號完整性開發(fā)套件為用戶提供的平臺能夠測量并評估從600 Mbps到12.5 Gbps的收發(fā)器鏈路性能。  
          • 關(guān)鍵字: Altera  FPGA  

          基于FPGA的TMR方法改進策略

          • 基于FPGA的TMR方法改進策略,基于SRAM的FPGA對于空間粒子輻射非常敏感,很容易產(chǎn)生軟故障,所以對基于FPGA的電子系統(tǒng)采取容錯措施以防止此類故障的出現(xiàn)是非常重要的。三模冗余(TMR)方法以其實現(xiàn)的簡單性和效果的可靠性而被廣泛用于對單粒子翻轉(zhuǎn)(
          • 關(guān)鍵字: 改進  策略  方法  TMR  FPGA  基于  

          高壓變頻器中功率驅(qū)動PWM信號的遠距離傳送實現(xiàn)

          • 隨著市場經(jīng)濟的發(fā)展和自動化,智能化程度的提高,采用高壓變頻器對泵類負載進行速度控制,不但對改進工藝、提高產(chǎn)品質(zhì)量有好處,又是節(jié)能和設(shè)備經(jīng)濟運行的要求,是可持續(xù)發(fā)展的必然趨勢。對泵類負載進行調(diào)速控制的好
          • 關(guān)鍵字: 遠距離  傳送  實現(xiàn)  信號  PWM  變頻器  功率  驅(qū)動  高壓  

          Altera發(fā)布業(yè)界第一款28-nm FPGA開發(fā)套件

          • 2011年9月7號,北京——Altera公司(NASDAQ:ALTR)今天宣布開始提供第一款帶有28-nm FPGA的開發(fā)套件——Stratix V GX FPGA信號完整性套件,在推動業(yè)界28-nm FPGA發(fā)展方面樹立了新里程碑。這一全功能套件支持設(shè)計工程師加速高性能系統(tǒng)的設(shè)計和開發(fā),滿足了業(yè)界對提高帶寬的需求。Stratix V GX FPGA信號完整性開發(fā)套件為用戶提供的平臺能夠測量并評估從600 Mbps到12.5 Gbps的收發(fā)器鏈路性能。
          • 關(guān)鍵字: Altera  FPGA  Stratix V GX   

          Microsemi宣布提供SmartFusion cSoC成本優(yōu)化版本

          • 致力于提供幫助功率管理、安全、可靠與高性能半導(dǎo)體技術(shù)產(chǎn)品的領(lǐng)先供應(yīng)商美高森美公司(Microsemi Corporation,紐約納斯達克交易所代號:MSCC) 宣布提供經(jīng)成本優(yōu)化的SmartFusion 可定制單芯片系統(tǒng) (customizable system-on-chip, cSoC) 器件A2F060,該器件備有商用和工業(yè)溫度等級型款,專門針對大批量應(yīng)用而設(shè)計,包括馬達和運動控制、游戲機、太陽能逆變器,以及臨床和成像醫(yī)療電子設(shè)備。
          • 關(guān)鍵字: 美高森美  FPGA  

          賽靈思FPGA:面向動態(tài)應(yīng)用的靈活操作系統(tǒng)

          • 利用賽靈思FPGA的動態(tài)重配置功能,同構(gòu)多線程執(zhí)行模型可同時兼得軟件靈活性和硬件性能。一臺在未知的土地...
          • 關(guān)鍵字: 賽靈思  FPGA  

          基于DSP和FPGA的多波形雷達回波中頻模擬器實現(xiàn)

          • 基于DSP和FPGA的多波形雷達回波中頻模擬器實現(xiàn),本文論述一種自主產(chǎn)生式的雷達回波模擬器中頻部分的設(shè)計實現(xiàn)方法,該模擬器可產(chǎn)生脈沖單頻、脈沖線性調(diào)頻、步進頻、步進頻+線性調(diào)頻等多種波形的雷達回波信號,并可產(chǎn)生雙目標(biāo)和參數(shù)可控的帶限高斯白噪聲,可模擬主要
          • 關(guān)鍵字: 中頻  模擬器  實現(xiàn)  雷達  波形  DSP  FPGA  基于  

          多時鐘域數(shù)據(jù)傳遞的Spartan-II FPGA實現(xiàn)

          • 本文采用FPGA來設(shè)計一款廣泛應(yīng)用于計算機、Modem、數(shù)據(jù)終端以及許多其他數(shù)字設(shè)備之間的數(shù)據(jù)傳輸?shù)膶S卯惒讲⑿型ㄐ沤涌谛酒?,實現(xiàn)了某一時鐘域(如66 MHz)的8位并行數(shù)據(jù)到另一低時鐘域(如40 MHz)16位并行數(shù)據(jù)的異步轉(zhuǎn)換,并且客戶可以根據(jù)自己的要求進行數(shù)據(jù)定義。完成數(shù)據(jù)在不同時鐘域間的正確傳遞的同時防止亞穩(wěn)態(tài)的出現(xiàn),保持系統(tǒng)的穩(wěn)定,是電路設(shè)計的關(guān)鍵。
          • 關(guān)鍵字: Spartan-II  FPGA  多時鐘域  數(shù)據(jù)    

          基于FPGA的高速自適應(yīng)濾波器的實現(xiàn)

          • 在LMS算法進行變步長處理的基礎(chǔ)上,結(jié)合馳豫超前流水線技術(shù)和時序重構(gòu)技術(shù)提出了創(chuàng)新結(jié)構(gòu)和改進算法,在FPGA的仿真綜合環(huán)境中設(shè)計實現(xiàn)了該高速自適應(yīng)濾波器,并且在Altera DE2-70開發(fā)板上進行了板級測試。
          • 關(guān)鍵字: FPGA  自適應(yīng)濾波器    
          共7201條 294/481 |‹ « 292 293 294 295 296 297 298 299 300 301 » ›|

          fpga-pwm介紹

          您好,目前還沒有人創(chuàng)建詞條fpga-pwm!
          歡迎您創(chuàng)建該詞條,闡述對fpga-pwm的理解,并與今后在此搜索fpga-pwm的朋友們分享。    創(chuàng)建詞條

          熱門主題

          樹莓派    linux   
          關(guān)于我們 - 廣告服務(wù) - 企業(yè)會員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國際技術(shù)信息咨詢有限公司
          備案 京ICP備12027778號-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();