<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁(yè)  資訊  商機(jī)   下載  拆解   高校  招聘   雜志  會(huì)展  EETV  百科   問(wèn)答  電路圖  工程師手冊(cè)   Datasheet  100例   活動(dòng)中心  E周刊閱讀   樣片申請(qǐng)
          EEPW首頁(yè) >> 主題列表 >> fpga-pwm

          一種基于FPGA的準(zhǔn)單輸入調(diào)變序列生成器設(shè)計(jì)

          •   1.引言   隨著集成電路復(fù)雜度越來(lái)越高,測(cè)試開(kāi)銷(xiāo)在電路和系統(tǒng)總開(kāi)銷(xiāo)中所占的比例不斷上升,測(cè)試方法的研究顯得非常突出。目前在測(cè)試源的劃分上可以采用內(nèi)建自測(cè)試或片外測(cè)試。內(nèi)建自測(cè)試把測(cè)試源和被測(cè)電路都集成在芯片的內(nèi)部,對(duì)于目前SOC級(jí)的芯片測(cè)試如果采用內(nèi)建自測(cè)試則付出的硬件面積開(kāi)銷(xiāo)則是很大的,同時(shí)也增加了芯片設(shè)計(jì)的難度:因此片外測(cè)試便成為目前被普遍看好的方法。由于FPGA具有可重構(gòu)的靈活性,利用FPGA來(lái)作為測(cè)試源實(shí)現(xiàn)片外測(cè)試就是一種非常有效的手段。   由于偽隨機(jī)模式測(cè)試只需要有限個(gè)數(shù)的輸入向量便
          • 關(guān)鍵字: 嵌入式系統(tǒng)  單片機(jī)  FPGA  序列生成器  Verilog  HDL  MCU和嵌入式微處理器  

          ARM7與FPGA相結(jié)合在工業(yè)控制和故障檢測(cè)中的應(yīng)用(圖)

          FPGA新手入門(mén)

          • FPGA簡(jiǎn)介     FPGA是英文Field Programmable Gate Array的縮寫(xiě),即現(xiàn)場(chǎng)可編程門(mén)陣列,它是在可編程陣列邏輯PAL(Programmable Array Logic)、門(mén)陣列邏輯GAL(Gate Array Logic)、可編程邏輯器件PLD(Programmable Logic Device)等可編程器件的基礎(chǔ)什么是FPGA上進(jìn)一步發(fā)展的產(chǎn)
          • 關(guān)鍵字: FPGA  新手  入門(mén)  元器件  其他IC  制程  

          基于FPGA的串行Flash擴(kuò)展實(shí)現(xiàn)

          •   1 引言   FPGA憑借其方便靈活、可重復(fù)編程等優(yōu)點(diǎn)而日益被廣泛應(yīng)用;閃速存儲(chǔ)器(Flash Memory)以其集成度高、成本低、使用方便等優(yōu)點(diǎn),在眾多領(lǐng)域中也獲得了廣泛應(yīng)用。在現(xiàn)代數(shù)字電路設(shè)計(jì)中。經(jīng)常需要保存大量數(shù)據(jù),而Flash存儲(chǔ)速度快、體積小、功耗低且價(jià)格低廉,可在線電擦寫(xiě),信息在掉電后不會(huì)丟失,因此成為設(shè)計(jì)人員的首選。   2 M25P80的介紹   Flash是一種具有電可擦除的可編程ROM,可以分為兩大類(lèi):并行Flash和串行Flash。并行Flash存儲(chǔ)量大,速度快;而串行Fl
          • 關(guān)鍵字: 嵌入式系統(tǒng)  單片機(jī)  FPGA  Flash    MCU和嵌入式微處理器  

          轉(zhuǎn)換開(kāi)關(guān)添加了可編程PWM占空比鉗位

          •   電源應(yīng)用要求使用占空比鉗位。此類(lèi)應(yīng)用包括那些使用電流檢測(cè)變壓器和雙開(kāi)關(guān)正向轉(zhuǎn)換器的應(yīng)用。如果沒(méi)有占空比鉗位,則變壓器可能飽和,從而造成系統(tǒng)的災(zāi)難性故障。不過(guò),為降低設(shè)計(jì)成本,許多電源設(shè)計(jì)人員都使用無(wú)占空比鉗位的價(jià)格較低的 8 引腳 PWM 控制器。該設(shè)計(jì)方案說(shuō)明了如何為 PWM 控制器添加一個(gè)價(jià)格較低的占空比鉗位。   您可以將該鉗位電路添加到絕大多數(shù)的 PWM 控制器以提供可編程的占空比鉗位(如圖 1 所示)。這一電路由苦干個(gè)無(wú)源組件,一個(gè)滯后比較器,以及一個(gè)柵極驅(qū)動(dòng) IC 組成。電阻 R1 和電
          • 關(guān)鍵字: 工業(yè)控制  開(kāi)關(guān)  可編程  PWM  MCU和嵌入式微處理器  

          無(wú)極可調(diào)交流電子負(fù)載的設(shè)計(jì)與實(shí)現(xiàn)

          •   1引言   電阻是電路設(shè)計(jì)中最常用的電子元器件之一,具有多種型號(hào)、使用方便、價(jià)格便宜、操作簡(jiǎn)便等特點(diǎn),在電路中具有重要作用,但也存在諸多缺點(diǎn),譬如阻值不準(zhǔn)確、功耗大、響應(yīng)慢等,給電路設(shè)計(jì)帶來(lái)了諸多不便。本文利用Atmel公司的高性價(jià)比AT-mega48單片機(jī)設(shè)計(jì)了一種新型電子阻抗,完全拋開(kāi)了傳統(tǒng)電阻采用電阻絲實(shí)現(xiàn)阻值,而是通過(guò)控制場(chǎng)效應(yīng)管的通斷時(shí)間等效改變阻值大小。這種新型的電子阻抗克服了傳統(tǒng)電阻的缺點(diǎn),具有阻值精確、響應(yīng)快等優(yōu)點(diǎn)。   2 ATmega48單片機(jī)簡(jiǎn)介   ATmega48單片機(jī)
          • 關(guān)鍵字: 工業(yè)控制  交流電子  PWM  MAX232  電源  

          地面數(shù)字電視符號(hào)與載波同步的FPGA實(shí)現(xiàn)

          •   1 引言   中國(guó)于2006年8月頒布了數(shù)字電視的地面廣播標(biāo)準(zhǔn)GB20600-2006,成為繼美國(guó)ATSC、歐洲D(zhuǎn)VB-T、日本ISDB-T之后又一重要的地面數(shù)字電視廣播的國(guó)家標(biāo)準(zhǔn)。GB20600-2006中對(duì)中國(guó)數(shù)字電視地面?zhèn)鬏?DigitalTerrestrial Television Broadcasting,DTTB)系統(tǒng)傳輸?shù)膸Y(jié)構(gòu)、信道編碼和調(diào)制作了具體的規(guī)定。其中幀結(jié)構(gòu)的基本單元——信號(hào)幀采用了循環(huán)擴(kuò)展的時(shí)域幀頭結(jié)構(gòu),即在每3780個(gè)符號(hào)的幀體前加入一定長(zhǎng)度的經(jīng)循環(huán)擴(kuò)展后的偽隨機(jī)序列作
          • 關(guān)鍵字: 嵌入式系統(tǒng)  單片機(jī)  數(shù)字電視  FPGA  載波  MCU和嵌入式微處理器  

          Xilinx推出針對(duì)Intel前端總線(FSB)的FPGA加速解決方案

          •   賽靈思公司宣布開(kāi)始正式發(fā)放高性能計(jì)算行業(yè)首款針對(duì)Intel前端總線(FSB)的FPGA加速解決方案商業(yè)許可?;诟咝阅?5nm Virtex™-5 平臺(tái) FPGA 和Intel® QuickAssist技術(shù),賽靈思公司的加速計(jì)算平臺(tái)(Accelerated Computing Platform, ACP)M1許可包支持實(shí)現(xiàn)全速1066MHz FSB性能。ACP M1許可包目前已開(kāi)始向系統(tǒng)集成商提供,支持他們進(jìn)行解決方案的開(kāi)發(fā),以提高基于Intel處理器的服務(wù)器平臺(tái)的性能,并保證把功
          • 關(guān)鍵字: 嵌入式系統(tǒng)  單片機(jī)  賽靈思  FPGA  FSB  

          基于FPGA的李沙育圖形在手持式示波表中實(shí)現(xiàn)

          •   1.引言   示波器測(cè)量頻率和相位的方法很多, “李沙育圖形法”就是其中用得最多的一種?!袄钌秤龍D形法”又稱(chēng)波形合成法,就是將被測(cè)頻率的信號(hào)和頻率已知的標(biāo)準(zhǔn)信號(hào)分別加至示波器的Y軸輸入端和x軸輸入端,在示波器顯示屏上將出現(xiàn)一個(gè)合成圖形,這個(gè)圖形就是李沙育圖形。李沙育圖形隨兩個(gè)輸入信號(hào)的頻率、相位、幅度不同,所呈現(xiàn)的波形也不同。   早期的模擬示波器顯示李沙育圖形的原理是將電信號(hào)轉(zhuǎn)換為光信號(hào),核心部分是陰極射線示波管(CRT)。將輸入信號(hào)加到示波管內(nèi)部的偏轉(zhuǎn)系統(tǒng),高速電子經(jīng)聚焦、加速和偏轉(zhuǎn)后,打到熒
          • 關(guān)鍵字: 嵌入式系統(tǒng)  單片機(jī)  FPGA  示波器  MCU和嵌入式微處理器  

          WTB網(wǎng)絡(luò)HDLC在FPGA中的實(shí)現(xiàn)

          •   1 引言   TCN(Train Communication Network)總體結(jié)構(gòu)是由WTB(絞線式列車(chē)總線)和MVB(多功能車(chē)輛總線)組成,符合IEC61375-1標(biāo)準(zhǔn)。本文主要圍繞WTB鏈路控制的幀格式進(jìn)行研究。鑒于IEC61375-1標(biāo)準(zhǔn)中規(guī)定的WTB幀數(shù)據(jù)格式與IS03309中定義的HDLC(High Level Data Link Control)格式一致,基帶Manchester-Biphase-L技術(shù)編解碼器現(xiàn)則圍繞HDLC展開(kāi)。   隨著深亞微米工藝技術(shù)的發(fā)展,F(xiàn)PGA(Fie
          • 關(guān)鍵字: 嵌入式系統(tǒng)  單片機(jī)  TCN  HDLC  FPGA  MCU和嵌入式微處理器  

          基于FPGA的可配置通信平臺(tái)設(shè)計(jì)

          • 本文設(shè)計(jì)了一種基于FPGA的、通用可配置的通信開(kāi)發(fā)與測(cè)試平臺(tái)。針對(duì)不同信道編碼和調(diào)制方式的組合,通過(guò)采用實(shí)時(shí)軟硬件重構(gòu)技術(shù),該平臺(tái)可以在短期內(nèi)完成相應(yīng)通信系統(tǒng)的構(gòu)建、驗(yàn)證和配置。
          • 關(guān)鍵字: FPGA  可配置  通信  平臺(tái)設(shè)計(jì)    

          異步通信起始位正確檢測(cè)的VHDL實(shí)現(xiàn)

          •   摘要: 基于FPGA/CPLD的UART設(shè)計(jì)眾多,本文分析了3倍頻采樣方法存在的不足,同時(shí)分析了16倍頻采樣對(duì)起始位檢測(cè)的可靠性,并給出相關(guān)的VHDL硬件描述語(yǔ)言程序代碼。   關(guān)健詞: 異步數(shù)據(jù);UART;FPGA/CPLD;VHDL   概述   隨著電子設(shè)計(jì)自動(dòng)化(EDA)技術(shù)的發(fā)展,可編程邏輯器件FPGA/CPLD已經(jīng)在許多方面得到了廣泛應(yīng)用,而UART(通用異步收發(fā)器) 是在數(shù)字通信和控制系統(tǒng)中廣泛使用的串行數(shù)據(jù)傳輸協(xié)議。因此越來(lái)越多用戶根據(jù)自己的需要,以EDA技術(shù)作為開(kāi)發(fā)手段,用一塊
          • 關(guān)鍵字: 0711_A  雜志_設(shè)計(jì)天地  嵌入式系統(tǒng)  單片機(jī)  異步數(shù)據(jù)  UART  FPGA/CPLD  VHDL  MCU和嵌入式微處理器  

          基于FPGA和ARM的圖像采集傳輸系統(tǒng)

          •   引言   圖像處理技術(shù)的快速發(fā)展,使得圖像采集處理系統(tǒng)在提高農(nóng)業(yè)生產(chǎn)自動(dòng)化程度中的應(yīng)用越來(lái)越廣泛。目前的圖像采集系統(tǒng)有的基于CCD攝像機(jī)、圖像采集卡和計(jì)算機(jī),有的基于CCD攝像機(jī)、解碼器、FPGA和DSP,而有的基于CMOS圖像傳感器芯片、FPGA和DSP,它們?cè)趯?shí)時(shí)性,靈活性,可維護(hù)性方面各有優(yōu)缺點(diǎn)。而在農(nóng)業(yè)生產(chǎn)中,以基于CCD攝像機(jī)、圖像采集卡和計(jì)算機(jī)的系統(tǒng)居多。本文結(jié)合實(shí)際系統(tǒng)中的前端圖像處理和圖像數(shù)據(jù)傳輸需要.充分利用ARM的靈活性和FPGA的并行性特點(diǎn),設(shè)計(jì)了一種基于ARM+FPGA的圖像
          • 關(guān)鍵字: 嵌入式系統(tǒng)  單片機(jī)  圖像處理  CCD  FPGA  MCU和嵌入式微處理器  

          采用Topswitch系列芯片的單片開(kāi)關(guān)電源效率研究

          •   引言   近20多年來(lái),集成開(kāi)關(guān)電源一直在沿著兩個(gè)方向不斷發(fā)展。第一是對(duì)開(kāi)關(guān)電源的核心單元——控制電路實(shí)現(xiàn)集成化。第二個(gè)方向則是對(duì)中、小功率開(kāi)關(guān)電源實(shí)現(xiàn)單片集成化。單片開(kāi)關(guān)電源集成電路具有高集成度、高性價(jià)比、最簡(jiǎn)單的外圍電路、最佳的性能指標(biāo)、能構(gòu)成高效率無(wú)工頻變壓器的隔離式開(kāi)關(guān)電源等優(yōu)點(diǎn)。目前已成為國(guó)際上開(kāi)發(fā)中、小功率開(kāi)關(guān)電源、精密開(kāi)關(guān)電源、特種開(kāi)關(guān)電源及電源模塊的優(yōu)選集成電路。目前,單片開(kāi)關(guān)電源已形成了幾十個(gè)系列、數(shù)百種產(chǎn)品。然而開(kāi)關(guān)效率始終是一個(gè)眾人關(guān)注的問(wèn)題。本文就此問(wèn)題提出了一點(diǎn)自己的看法。
          • 關(guān)鍵字: 模擬技術(shù)  電源技術(shù)  開(kāi)關(guān)  電源  PWM  模擬IC  

          基于FPGA的高速FIR數(shù)字濾波器的設(shè)計(jì)

          •   1 引 言   目前FIR濾波器的實(shí)現(xiàn)方法主要有3種:利用單片通用數(shù)字濾波器集成電路、DSP器件和可編程邏輯器件實(shí)現(xiàn)。單片通用數(shù)字濾波器使用方便,但由于字長(zhǎng)和階數(shù)的規(guī)格較少,不能完全滿足實(shí)際需要。使用DSP器件實(shí)現(xiàn)雖然簡(jiǎn)單,但由于程序順序執(zhí)行,執(zhí)行速度必然不快。   FPGA有著規(guī)整的內(nèi)部邏輯陣列和豐富的連線資源,特別適合于數(shù)字信號(hào)處理任務(wù),相對(duì)于串行運(yùn)算為主導(dǎo)的通用DSP芯片來(lái)說(shuō),其并行性和可擴(kuò)展性更好。但長(zhǎng)期以來(lái),F(xiàn)PGA一直被用于系統(tǒng)邏輯或時(shí)序控制上,很少有信號(hào)處理方面的應(yīng)用,其原因主要是因
          • 關(guān)鍵字: 嵌入式系統(tǒng)  單片機(jī)  FIR  濾波器  FPGA  MCU和嵌入式微處理器  
          共7201條 447/481 |‹ « 445 446 447 448 449 450 451 452 453 454 » ›|

          fpga-pwm介紹

          您好,目前還沒(méi)有人創(chuàng)建詞條fpga-pwm!
          歡迎您創(chuàng)建該詞條,闡述對(duì)fpga-pwm的理解,并與今后在此搜索fpga-pwm的朋友們分享。    創(chuàng)建詞條

          熱門(mén)主題

          樹(shù)莓派    linux   
          關(guān)于我們 - 廣告服務(wù) - 企業(yè)會(huì)員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機(jī)EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國(guó)際技術(shù)信息咨詢有限公司
          備案 京ICP備12027778號(hào)-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();