<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁  資訊  商機(jī)   下載  拆解   高校  招聘   雜志  會(huì)展  EETV  百科   問答  電路圖  工程師手冊(cè)   Datasheet  100例   活動(dòng)中心  E周刊閱讀   樣片申請(qǐng)
          EEPW首頁 >> 主題列表 >> fpga-pwm

          AWS獨(dú)家詳述FPGA基本原理和市場(chǎng)發(fā)展

          • AWS獨(dú)家詳述FPGA基本原理和市場(chǎng)發(fā)展-在2016年底一年快要結(jié)束的時(shí)候,AWS(亞馬遜網(wǎng)絡(luò)服務(wù))宣布通過借助云傳輸模型可以采用Xilinx高端FPGA器件了,首次以開發(fā)者的角度而不是擴(kuò)展高層次工具來幫助潛在的用戶學(xué)習(xí)和體驗(yàn)FPGA的加速效果。
          • 關(guān)鍵字: AWS  FPGA  

          FPGA的過去,現(xiàn)在和未來

          • FPGA的過去,現(xiàn)在和未來-自Xilinx在1984年創(chuàng)造出FPGA以來,這種可編程邏輯器件憑借性能、上市時(shí)間、成本、穩(wěn)定性和長期維護(hù)方面的優(yōu)勢(shì),在通信、醫(yī)療、工控和安防等領(lǐng)域占有一席之地,在過去幾年也有極高的增長率。而進(jìn)入了最近兩年,由于云計(jì)算、高性能計(jì)算和人工智能的繁榮,擁有先天優(yōu)勢(shì)的FPGA的關(guān)注度更是到達(dá)了前所未有的高度。本文從基礎(chǔ)出發(fā)談及FPGA的過去、現(xiàn)在與未來。
          • 關(guān)鍵字: fpga  xilinx  英特爾  

          FPGA基于CORDIC算法的求平方實(shí)現(xiàn)

          • FPGA基于CORDIC算法的求平方實(shí)現(xiàn)-CORDIC是在沒有專用乘法器(最小化門數(shù)量)情況下,一組完成特定功能的算法,包括平方、超越、Log、sin/cos/artan。原理為連續(xù)的旋轉(zhuǎn)一個(gè)較小的角度,以一定精度逼近想要的角度。
          • 關(guān)鍵字: FPGA  CORDIC  

          時(shí)序分析中的一些基本概念

          • 時(shí)序分析中的一些基本概念-時(shí)序分析時(shí)FPGA設(shè)計(jì)中永恒的話題,也是FPGA開發(fā)人員設(shè)計(jì)進(jìn)階的必由之路。慢慢來,先介紹時(shí)序分析中的一些基本概念。
          • 關(guān)鍵字: FPGA  時(shí)序分析  周期抖動(dòng)  

          使用VIVADO對(duì)7系列FPGA的高效設(shè)計(jì)心得

          • 使用VIVADO對(duì)7系列FPGA的高效設(shè)計(jì)心得-隨著xilinx公司進(jìn)入20nm工藝,以堆疊的方式在可編程領(lǐng)域一路高歌猛進(jìn),與其配套的EDA工具——新一代高端FPGA設(shè)計(jì)軟件VIVADO也備受關(guān)注和飽受爭(zhēng)議。
          • 關(guān)鍵字: FPGA  VIVADO  賽靈思  

          Board從入門到精通系列(六)

          • Board從入門到精通系列(六)-由于更新了開發(fā)工具,所以本篇博客有必要重復(fù)前面的內(nèi)容,今天首先演示如何利用Vivado開發(fā)純邏輯工程,即只在PL上進(jìn)行開發(fā)。
          • 關(guān)鍵字: FPGA  Vivado  OpenRISC  

          ZYNQ器件的啟動(dòng)配置方法

          • ZYNQ器件的啟動(dòng)配置方法-無任是用CPU作為系統(tǒng)的主要器件,還是用FPGA作為系統(tǒng)的主要器件,系統(tǒng)設(shè)計(jì)中首先要考慮到的問題就是處理器的啟動(dòng)加載問題。
          • 關(guān)鍵字: FPGA  XILINX  賽靈思  

          Board從入門到精通(五):軟硬件協(xié)同設(shè)計(jì)

          • Board從入門到精通(五):軟硬件協(xié)同設(shè)計(jì)-Zynq最大的優(yōu)勢(shì)在于,同時(shí)具備軟件、硬件、IO可編程,即All Programmable。在設(shè)計(jì)Zynq過程中,同樣要建立一種意識(shí),就是從原來單純的軟件思維(或單純的硬件思維)中解脫,轉(zhuǎn)向軟硬件協(xié)同設(shè)計(jì)的開發(fā)方法。
          • 關(guān)鍵字: Board  Zynq  FPGA  

          FPGA開發(fā)基本流程

          • FPGA開發(fā)基本流程-FPGA是可編程芯片,因此FPGA的設(shè)計(jì)方法包括硬件設(shè)計(jì)和軟件設(shè)計(jì)兩部分。硬件包括FPGA芯片電路、 存儲(chǔ)器、輸入輸出接口電路以及其他設(shè)備,軟件即是相應(yīng)的HDL程序以及最新才流行的嵌入式C程序。
          • 關(guān)鍵字: FPGA  微電子  SOC  

          從可編程器件發(fā)展看FPGA未來趨勢(shì)

          • 從可編程器件發(fā)展看FPGA未來趨勢(shì)-可編程邏輯器件的發(fā)展歷史可編程邏輯器件的發(fā)展可以劃分為4個(gè)階段,即從20世紀(jì)70年代初到70年代中為第1段,20世紀(jì)70年代中到80年代中為第2階段,20世紀(jì)80年代到90年代末為第3階段,20世紀(jì)90年代末到目前為第4階段。
          • 關(guān)鍵字: FPGA  可編程器件  賽靈思  

          底層內(nèi)嵌功能單元與軟核、硬核以及固核

          • 底層內(nèi)嵌功能單元與軟核、硬核以及固核-內(nèi)嵌功能模塊主要指DLL(Delay Locked Loop)、PLL(Phase Locked Loop)、DSP 等軟處理核(Soft Core)?,F(xiàn)在越來越豐富的內(nèi)嵌功能單元,使得單片F(xiàn)PGA 成為了系統(tǒng)級(jí)的設(shè)計(jì)工具,使其具備了軟硬件聯(lián)合設(shè)計(jì)的能力,逐步向SOC 平臺(tái)過渡。
          • 關(guān)鍵字: FPGA  賽靈思  DLL  

          數(shù)字時(shí)鐘管理模塊與嵌入式塊RAM

          • 數(shù)字時(shí)鐘管理模塊與嵌入式塊RAM-業(yè)內(nèi)大多數(shù)FPGA 均提供數(shù)字時(shí)鐘管理( 賽靈思公司的全部FPGA 均具有這種特性)。賽靈思公司推出最先進(jìn)的FPGA 提供數(shù)字時(shí)鐘管理和相位環(huán)路鎖定。相位環(huán)路鎖定能夠提供精確的時(shí)鐘綜合,且能夠降低抖動(dòng),并實(shí)現(xiàn)過濾功能。
          • 關(guān)鍵字: 數(shù)字時(shí)鐘管理  FPGA  賽靈思  

          FPGA主要功能模塊介紹(1)

          • FPGA主要功能模塊介紹(1)-可編程輸入/ 輸出單元簡(jiǎn)稱I/O 單元,是芯片與外界電路的接口部分,完成不同電氣特性下對(duì)輸入/ 輸出信號(hào)的驅(qū)動(dòng)與匹配要求,其示意結(jié)構(gòu)如圖2-4 所示。FPGA 內(nèi)的I/O 按組分類,每組都能夠獨(dú)立地支持不同的I/O標(biāo)準(zhǔn)。
          • 關(guān)鍵字: FPGA  CLB  賽靈思  

          Verilog HDL簡(jiǎn)明教程(part1)

          • Verilog HDL簡(jiǎn)明教程(part1)-Verilog HDL是一種硬件描述語言,用于從算法級(jí)、門級(jí)到開關(guān)級(jí)的多種抽象設(shè)計(jì)層次的數(shù)字系統(tǒng)建模。被建模的數(shù)字系統(tǒng)對(duì)象的復(fù)雜性可以介于簡(jiǎn)單的門和完整的電子數(shù)字系統(tǒng)之間。數(shù)字系統(tǒng)能夠按層次描述,并可在相同描述中顯式地進(jìn)行時(shí)序建模。
          • 關(guān)鍵字: VerilogHDL  FPGA  

          FPGA基本知識(shí)與發(fā)展趨勢(shì)(part2)

          • FPGA基本知識(shí)與發(fā)展趨勢(shì)(part2)-由于基于LUT 的FPGA 具有很高的集成度,其器件密度從數(shù)萬門到數(shù)千萬門不等,可以完成極其復(fù)雜的時(shí)序與邏輯組合邏輯電路功能,所以適用于高速、高密度的高端數(shù)字邏輯電路設(shè)計(jì)領(lǐng)域。
          • 關(guān)鍵字: FPGA  賽靈思  EPROM  
          共7200條 59/480 |‹ « 57 58 59 60 61 62 63 64 65 66 » ›|

          fpga-pwm介紹

          您好,目前還沒有人創(chuàng)建詞條fpga-pwm!
          歡迎您創(chuàng)建該詞條,闡述對(duì)fpga-pwm的理解,并與今后在此搜索fpga-pwm的朋友們分享。    創(chuàng)建詞條

          熱門主題

          樹莓派    linux   
          關(guān)于我們 - 廣告服務(wù) - 企業(yè)會(huì)員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機(jī)EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國際技術(shù)信息咨詢有限公司
          備案 京ICP備12027778號(hào)-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();