<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁  資訊  商機   下載  拆解   高校  招聘   雜志  會展  EETV  百科   問答  電路圖  工程師手冊   Datasheet  100例   活動中心  E周刊閱讀   樣片申請
          EEPW首頁 >> 主題列表 >> fpga-pwm

          基于FPGA的精密離心機光柵信號細分系統(tǒng)

          • 介紹一種基于FPGA的精密離心機光柵信號細分系統(tǒng)。說明了光柵信號的產(chǎn)生過程和基本處理方法,提出了一種綜合EDA技術(shù)與光柵莫爾條紋電子學細分技術(shù)的設(shè)計方案。通過VerilogHDL實現(xiàn)該系統(tǒng)的主要設(shè)計,并利用ISE軟件進行了仿真試驗。試驗表明,該系統(tǒng)具有捕捉速度快、跟蹤精度高、相位誤差小、成本低廉等特點。
          • 關(guān)鍵字: ISE  信號細分系統(tǒng)  光柵信號  FPGA  

          利用XCS40實現(xiàn)小型聲納的片上系統(tǒng)集成

          • 介紹大規(guī)模、高速度的FPGA在小型漁用聲納系統(tǒng)設(shè)計中的應(yīng)用。在該系統(tǒng)設(shè)計中,采用了Xilinx公司的FPGA芯片XCS40作為主要器件,基本上將整個系統(tǒng)的功能集成在了一片芯片上。實踐證明,即降低了成本,又縮短了設(shè)計和調(diào)試的時間。
          • 關(guān)鍵字: 漁用聲納系統(tǒng)  片上系統(tǒng)  FPGA  

          基于FPGA的音樂流水燈控制系統(tǒng)

          • 通過 FPGA實現(xiàn)音樂流水燈的控制, 實質(zhì)上就是將不同音階與特定頻率的方波信號對應(yīng)起來, 以方波信號驅(qū)動蜂鳴器發(fā)出音樂, 再根據(jù)不同音階來控制流水燈的閃爍。與借助微處理器實現(xiàn)樂曲演奏相比, 以純硬件方式完成樂曲演奏電路更直觀。EDA工具和硬件描述語言發(fā)揮了強大功能,提供了設(shè)計可能性。
          • 關(guān)鍵字: ALU  音樂流水燈  FPGA  

          基于軟件無線電的數(shù)字偵聽接收機研究

          • 為實現(xiàn)頻譜監(jiān)測、通信偵察等任務(wù),提出了一種基于軟件無線電的數(shù)字偵察接收機的軟、硬件體系結(jié)構(gòu)。該接收機基于高速數(shù)字信號處理器、大規(guī)模現(xiàn)場可編程門陣列、高速AD芯片、高精度大動態(tài)范圍AGC電路,實現(xiàn)了信號的寬頻段、寬帶接收;采用盲信號處理技術(shù),實現(xiàn)了對未知信號的參數(shù)辨識、分類、盲解調(diào)。
          • 關(guān)鍵字: 頻譜監(jiān)測  軟件無線電  FPGA  

          基于FPGA的多軟核圖像處理系統(tǒng)設(shè)計

          • 介紹以圖像處理為應(yīng)用背景、基于FPGA芯片建立的多軟核系統(tǒng)設(shè)計。系統(tǒng)中包含兩個Nios II軟核處理器和兩個用于進行圖像顏色空間轉(zhuǎn)換的CSC MegaCore IP核。兩個Nios II軟核處理器共享程序存儲器、數(shù)據(jù)存儲器及啟動存儲器。在硬件設(shè)計方面,CSC MegaCore IP作為外圍組件通過一個自定義的接口控制器連接到以Nios II軟核處理器為核心的SoPC系統(tǒng)中。在軟件設(shè)計方面,運行在每個Nios II軟核處理器上的程序通過硬件Mutex核協(xié)調(diào)對共享數(shù)據(jù)存儲器的訪問。
          • 關(guān)鍵字: 圖像處理  多軟核系統(tǒng)  FPGA  

          反射式全景視頻實時平面顯示技術(shù)的FPGA實現(xiàn)

          • 介紹了反射式全景圖像展開原理,分析了圖像產(chǎn)生鋸齒失真和階梯化現(xiàn)象的原因,提出了解決問題的算法,并設(shè)計了FPGA實現(xiàn)的系統(tǒng)硬件結(jié)構(gòu)。
          • 關(guān)鍵字: 全景圖像  鋸齒失真  FPGA  

          一種基于偏振原理和FPGA的調(diào)光系統(tǒng)設(shè)計

          • 設(shè)計了一種光強自動調(diào)節(jié)系統(tǒng)。通過光電傳感電路實現(xiàn)光電信號的轉(zhuǎn)換,使用FPGA對數(shù)據(jù)進行實時處理,并以實驗環(huán)境光照強度測試結(jié)果為參照對所測光強進行線性變換修正,進而查表獲得舵機偏轉(zhuǎn)角度的控制量,通過改變偏振片偏振化方向夾角來調(diào)節(jié)入射光強。自動調(diào)光系統(tǒng)測量精度較高,實時調(diào)節(jié)性較好,魯棒性較強。
          • 關(guān)鍵字: 光強調(diào)節(jié)  光電傳感  FPGA  

          同步數(shù)字復(fù)接的設(shè)計及其FPGA技術(shù)實現(xiàn)

          • 在簡要介紹同步數(shù)字復(fù)接基本原理的基礎(chǔ)上,采用VHDL語言對同步數(shù)字復(fù)接各組成模塊進行了設(shè)計,并在ISE集成環(huán)境下進行了設(shè)計描述、綜合、布局布線及時序仿真,取得了正確的設(shè)計結(jié)果,同時利用中小容量的FPGA實現(xiàn)了同步數(shù)字復(fù)接功能。
          • 關(guān)鍵字: 同步數(shù)字復(fù)接  VHDL  FPGA  

          基于FPGA的數(shù)字復(fù)接系統(tǒng)的設(shè)計與實現(xiàn)

          • 提出了基于FPGA技術(shù)實現(xiàn)數(shù)字復(fù)接系統(tǒng)的設(shè)計方案,并介紹了基群與二次群之間的復(fù)接與分接的系統(tǒng)總體設(shè)計。硬件電路調(diào)試證明,該方案是行之有效的。
          • 關(guān)鍵字: 數(shù)字復(fù)接系統(tǒng)  基群  FPGA  

          一種基于FPGA的嵌入式塊SRAM的設(shè)計

          • 文章中提出了一種應(yīng)用于FPGA的嵌入式可配置雙端口的塊存儲器。該存儲器包括與其他電路的布線接口、可配置邏輯、可配置譯碼、高速讀寫電路。在編程狀態(tài)下,可對所有存儲單元進行清零,且編程后為兩端口獨立的雙端存儲器。
          • 關(guān)鍵字: 塊存儲器  雙端口  FPGA  

          利用混合信號FPGA和先進的軟件工具實現(xiàn)簡易系統(tǒng)設(shè)計

          • 過去十多年間出現(xiàn)了兩類集成處理器的FPGA:帶有處理器軟核的FPGA和帶有處理器硬核的FPGA。它們各有其優(yōu)缺點,但其中有些FPGA得以幸存,有的卻慘遭淘汰。問題在于嵌入式與 FPGA 設(shè)計人員的設(shè)計流程和相反特性究竟在多大程度上阻礙了這些器件的快速采納。
          • 關(guān)鍵字: 處理器軟核  嵌入式領(lǐng)域  FPGA  

          基于FPGA的信號小波實時處理方法

          • 根據(jù)小波去噪的原理及特點,提出了用 FPGA實現(xiàn)小波實時信號處理的方法。實驗結(jié)果證明采用FPGA實現(xiàn)小波信號處理能在低信噪比的情況下有效去除噪聲,同時能夠滿足信號處理系統(tǒng)的實時性要求。
          • 關(guān)鍵字: 小波去噪  信噪比  FPGA  

          多相結(jié)構(gòu)采樣率變換器的FPGA實現(xiàn)

          • 采樣率變換器是多采樣率系統(tǒng)的一個重要組成部分。詳細討論了有理數(shù)采樣率變換器的原理,同時結(jié)合多采樣率系統(tǒng)網(wǎng)絡(luò)的等效變換和FIR濾波器的多相分解形式[1~2],給出了適合于硬件實現(xiàn)的一種高效的多相結(jié)構(gòu),并在Altera公司的FPGA芯片EP1C3T144C6上進行了實現(xiàn)與驗證。
          • 關(guān)鍵字: 多采樣率系統(tǒng)  多相結(jié)構(gòu)  FPGA  

          激光告警系統(tǒng)的異步FIFO設(shè)計

          • 介紹了在激光告警系統(tǒng)中采用異步FIFO解決A/D數(shù)據(jù)采樣與FPGA數(shù)據(jù)處理模塊之間的不同速率匹配問題。在分析異步FIFO設(shè)計難點基礎(chǔ)上,提出利用Gray碼計數(shù)器作為讀寫地址編碼,有效地同步了異步信號,避免了亞穩(wěn)態(tài)現(xiàn)象的產(chǎn)生,給不同速率間的數(shù)據(jù)傳輸提供了一種有效的解決方案。
          • 關(guān)鍵字: 異步FIFO  A/D數(shù)據(jù)采樣  FPGA  

          ISE 12設(shè)計套件開啟FPGA生產(chǎn)力新時代

          • 賽靈思公司(Xilinx)最新推出的ISE 12軟件設(shè)計套件,實現(xiàn)了具有更高設(shè)計生產(chǎn)力的功耗和成本的突破性優(yōu)化。ISE設(shè)計套件首次利用“智能”時鐘門控技術(shù),將動態(tài)功耗降低多達30%。此外,該新型套件還提供了基于時序的高級設(shè)計保存功能、為即插即用設(shè)計提供符合AMBA 4 AXI4 規(guī)范的IP支持,同時具備第四代部分重配置功能的直觀設(shè)計流程,可降低多種高性能應(yīng)用的系統(tǒng)成本。
          • 關(guān)鍵字: Xilinx  設(shè)計套件  FPGA  
          共7200條 85/480 |‹ « 83 84 85 86 87 88 89 90 91 92 » ›|

          fpga-pwm介紹

          您好,目前還沒有人創(chuàng)建詞條fpga-pwm!
          歡迎您創(chuàng)建該詞條,闡述對fpga-pwm的理解,并與今后在此搜索fpga-pwm的朋友們分享。    創(chuàng)建詞條

          熱門主題

          樹莓派    linux   
          關(guān)于我們 - 廣告服務(wù) - 企業(yè)會員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國際技術(shù)信息咨詢有限公司
          備案 京ICP備12027778號-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();