<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁  資訊  商機(jī)   下載  拆解   高校  招聘   雜志  會(huì)展  EETV  百科   問答  電路圖  工程師手冊(cè)   Datasheet  100例   活動(dòng)中心  E周刊閱讀   樣片申請(qǐng)
          EEPW首頁 >> 主題列表 >> fpga-spartan

          基于FPGA的LCoS顯示驅(qū)動(dòng)系統(tǒng)的設(shè)計(jì)與實(shí)現(xiàn)

          • 研究了硅基液晶(LCoS)場(chǎng)序彩色顯示驅(qū)動(dòng)系統(tǒng)的設(shè)計(jì)與實(shí)現(xiàn).該系統(tǒng)以FPGA作為主控芯片,用兩片高速DDR2 SDRAM作為幀圖像存儲(chǔ)器.通過對(duì)圖像數(shù)據(jù)以幀為單位進(jìn)行處理,系統(tǒng)將并行輸入的紅、綠、藍(lán)數(shù)據(jù)轉(zhuǎn)換成申行輸出的紅、綠、藍(lán)單色子幀.將該驅(qū)動(dòng)系統(tǒng)與投影光機(jī)配合,實(shí)現(xiàn)了分辨率為800×600的LCoS場(chǎng)序彩色顯示.
          • 關(guān)鍵字: 硅基液晶  DDR  FPGA  

          基于FPGA的平方根升余弦濾波器設(shè)計(jì)

          • 為了滿足陸上集群無線電(TETRA)數(shù)字集群系統(tǒng)對(duì)基帶信號(hào)成形處理的要求,提出了一種用于TETRA數(shù)字集群系統(tǒng)的平方根升余弦(SRRC)濾波器設(shè)計(jì),論述了基帶成形濾波和SRRC濾波器的基本原理,分析了窄帶調(diào)制帶寬限制、TETRA鄰道干擾限制和濾波器階數(shù)等需解決的問題,論述了濾波器參數(shù)設(shè)計(jì)和FIR濾波器FPGA實(shí)現(xiàn)等關(guān)鍵技術(shù),完成了對(duì)基于FPGA的SRRC濾波器設(shè)計(jì)的仿真分析。
          • 關(guān)鍵字: 數(shù)字集群系統(tǒng)  基帶信號(hào)  FPGA  

          基于FPGA的LCoS驅(qū)動(dòng)及圖像FFT變換系統(tǒng)設(shè)計(jì)

          • 本文設(shè)計(jì)了基于FPGA 的LCoS 驅(qū)動(dòng)代碼及圖像的FFT 變換系統(tǒng), 為計(jì)算全息三維顯示圖像處理和顯示提供了硬件平臺(tái)。
          • 關(guān)鍵字: DDRII  全息三維顯示  FPGA  

          基于FPGA圖形字符加速的液晶顯示模塊

          • 在傳統(tǒng)的工業(yè)控制應(yīng)用中,由于工業(yè)控制計(jì)算機(jī)中集成了高性能的顯卡,故通常采用工業(yè)控制計(jì)算機(jī)+液晶顯示器的體系結(jié)構(gòu),可方便地實(shí)現(xiàn)以圖形和字符為主的人機(jī)界面。而在對(duì)實(shí)時(shí)性能和可靠性要求比較高的航空航天領(lǐng)域,通常要求液晶顯示器內(nèi)部集成圖形顯示功能,以減輕主控處理器的負(fù)擔(dān),并提高系統(tǒng)的實(shí)時(shí)性。重點(diǎn)介紹了如何利用FPGA實(shí)現(xiàn)基于Bresenham算法的2D圖形繪制(包括畫點(diǎn)、畫線、畫圓、畫橢圓),以及點(diǎn)陣字符和位圖在液晶屏上的顯示,并提出了顯示性能優(yōu)化的一系列策略。
          • 關(guān)鍵字: 圖形顯示  2D圖形繪制  FPGA  

          基于FPGA的簡(jiǎn)易微機(jī)的結(jié)構(gòu)分析與實(shí)現(xiàn)

          • 微型計(jì)算機(jī)的原理及結(jié)構(gòu)一般不易理解掌握,利用FPGA來學(xué)習(xí)并構(gòu)建一個(gè)簡(jiǎn)易微型計(jì)算機(jī)無疑是一個(gè)好方法,對(duì)EDA的軟硬件學(xué)習(xí)也是一個(gè)不錯(cuò)的選擇,可為將來進(jìn)行相關(guān)ASIC沒計(jì)打下良好的基礎(chǔ)。
          • 關(guān)鍵字: 微型計(jì)算機(jī)  FPGA  EDA  

          基于FPGA的高清圖像處理設(shè)計(jì)

          • FPGA解決方案可容易地支持超過HDTV要求的數(shù)據(jù)傳輸速率,這意味著一個(gè)器件可以支持所有這些格式,只需要根據(jù)設(shè)備的需要進(jìn)行重新編程就可以了。這可減少企業(yè)的用料清單項(xiàng)目,同時(shí)還排除了ASSP供應(yīng)商可能存在的供貨風(fēng)險(xiǎn)。
          • 關(guān)鍵字: 廣播格式  圖像處理  FPGA  

          基于FPGA的光纖陀螺慣導(dǎo)系統(tǒng)溫控電路接口設(shè)計(jì)

          • 本文介紹了一種基于FPGA的光纖陀螺慣導(dǎo)系統(tǒng)溫控電路接口設(shè)計(jì)。主要說明了溫控電路整體結(jié)構(gòu),溫控電路工作流程,FPGA與外圍電路的通信接口和FPGA的邏輯設(shè)計(jì)等幾個(gè)方面。
          • 關(guān)鍵字: 溫控電路  光纖陀螺  FPGA  

          基于FPGA的AVS解碼芯片驗(yàn)證平臺(tái)

          • 針對(duì)AVS視頻解碼芯片仿真和驗(yàn)證的要求,提出了基于FPGA的驗(yàn)證平臺(tái)框架。該驗(yàn)證平臺(tái)主要用于對(duì)AVS解碼芯片進(jìn)行硬件模塊的驗(yàn)證,從而為整個(gè)視頻解碼芯片的開發(fā)提供可靠的依據(jù)。該平臺(tái)基于Nios II軟核處理器,可使軟件模塊和硬件模塊在一個(gè)平臺(tái)下真正實(shí)現(xiàn)軟硬件協(xié)同工作?;谠撈脚_(tái)實(shí)現(xiàn)了多個(gè)硬件模塊和AVS視頻解碼芯片的驗(yàn)證,其結(jié)果證明了該驗(yàn)證平臺(tái)的正確性和可靠性。
          • 關(guān)鍵字: 視頻解碼  驗(yàn)證平臺(tái)  FPGA  

          基于FPGA的高精度時(shí)間數(shù)字轉(zhuǎn)換電路的設(shè)計(jì)與實(shí)現(xiàn)

          • 本文介紹一種基于 FPGA高精度時(shí)間數(shù)字轉(zhuǎn)換電路的設(shè)計(jì)方法,利用片內(nèi)鎖相環(huán)(PLL)和環(huán)形移位寄存器,采用不高的系統(tǒng)時(shí)鐘便可得到很高的時(shí)間分辨率,且占用較少邏輯資源。可作為功能電路獨(dú)立使用,也可作為 IP核方便地移植到其他片上系統(tǒng)(SOC)中。在 Altera公司的 Stratix和 Cyclone系列芯片上實(shí)現(xiàn)時(shí),時(shí)間分辨率昀高可達(dá) 3.3ns。時(shí)序仿真和硬件測(cè)試表明該方法的可行性和準(zhǔn)確性。
          • 關(guān)鍵字: 時(shí)間數(shù)字轉(zhuǎn)換電路  激光探測(cè)  FPGA  

          量程自整定高精度頻率測(cè)量的FPGA實(shí)現(xiàn)

          • 數(shù)字頻率計(jì)是一種應(yīng)用十分廣泛的電子測(cè)量?jī)x表,針對(duì)寬頻率范圍被測(cè)信號(hào)頻率測(cè)量應(yīng)用需求,提出并實(shí)現(xiàn)了一種基于FPGA的自動(dòng)量程切換高精度數(shù)字頻率計(jì)的設(shè)計(jì)方法。通過構(gòu)建測(cè)頻控制器、閘門同步生成器、量程自動(dòng)切換等模塊,并采用Verilog HDL語言進(jìn)行描述,運(yùn)用自頂向下的數(shù)字系統(tǒng)設(shè)計(jì)方法實(shí)現(xiàn)了寬頻率范圍頻率測(cè)量的量程自動(dòng)切換。在Xilinx公司的XUPV5-LX110T開發(fā)板上進(jìn)行了測(cè)試,給出了系統(tǒng)后仿真波形。結(jié)果表明目標(biāo)系統(tǒng)能根據(jù)被測(cè)信號(hào)頻率范圍進(jìn)行自動(dòng)量程切換,實(shí)現(xiàn)高精度頻率測(cè)量,測(cè)量精度不低于10-7,
          • 關(guān)鍵字: 數(shù)字頻率計(jì)  自動(dòng)量程切換  FPGA  

          一種基于FPGA的雷達(dá)回波實(shí)時(shí)模擬器的實(shí)現(xiàn)

          • 提出了一種基于FPGA的雷達(dá)回波實(shí)時(shí)模擬器的實(shí)現(xiàn)方法。該模擬器采用cPCI標(biāo)準(zhǔn)總線,以FPGA為核心計(jì)算單元,配有高速數(shù)模、模數(shù)轉(zhuǎn)換模塊,可實(shí)現(xiàn)雷達(dá)回波信號(hào)實(shí)時(shí)在線注入模擬。該模擬器可實(shí)現(xiàn)多種體制下復(fù)雜回波的模擬,具有很好的工程應(yīng)用價(jià)值。
          • 關(guān)鍵字: 雷達(dá)回波實(shí)時(shí)模擬器  半實(shí)物仿真  FPGA  

          基于FPGA的嵌入式圖像采集系統(tǒng)設(shè)計(jì)

          • 介紹了以FPGA為核心的邏輯控制模塊的數(shù)據(jù)采集系統(tǒng)的設(shè)計(jì)可以滿足實(shí)時(shí)性要求,設(shè)計(jì)中采用自頂向下的設(shè)計(jì)方法,根據(jù)不同的功能將整個(gè)系統(tǒng)劃分為若干模塊進(jìn)行設(shè)計(jì),并介紹了每個(gè)模塊的功能和實(shí)現(xiàn)方法。在設(shè)計(jì)中采用VHDL語言對(duì)各個(gè)模塊進(jìn)行描述。視頻解碼芯片采用Philips公司的SAA7113H,該芯片通過I2C總線協(xié)議進(jìn)行配置。實(shí)驗(yàn)表明,設(shè)計(jì)可以滿足圖像采集實(shí)時(shí)性的要求。
          • 關(guān)鍵字: I2C總線  圖像處理  FPGA  

          基于FPGA的電子攝像系統(tǒng)的穩(wěn)像設(shè)計(jì)

          • 穩(wěn)像系統(tǒng)的反應(yīng)速度是電子穩(wěn)像要解決的關(guān)鍵技術(shù)之一。傳統(tǒng)的基于“攝像機(jī)-圖像采集卡-計(jì)算機(jī)”模式的穩(wěn)像系統(tǒng)、圖像檢測(cè)和匹配算法全部由計(jì)算機(jī)以軟件方式實(shí)現(xiàn)。盡管當(dāng)今計(jì)算機(jī)的性能很高,能夠部分滿足單傳感器電子穩(wěn)系統(tǒng)的實(shí)時(shí)處理要求,但在以下幾個(gè)方面有著難以解決的問題:首先,其固有的串行工作方式使得單計(jì)算機(jī)難以適應(yīng)其于多傳感器視頻處理系統(tǒng)的實(shí)時(shí)穩(wěn)像,阻礙了在實(shí)際中的應(yīng)用adw欠,傳統(tǒng)的圖像采集卡中能將采集圖像數(shù)據(jù)實(shí)時(shí)傳輸給計(jì)算機(jī),而不能傳輸給標(biāo)準(zhǔn)接口的視頻監(jiān)視設(shè)備lk之很多應(yīng)用場(chǎng)合對(duì)聽要求很高。因此,研制專用的電
          • 關(guān)鍵字: 隔行掃描  電子穩(wěn)像系統(tǒng)  FPGA  

          FPGA設(shè)計(jì)中毛刺產(chǎn)生原因及消除

          • 毛刺問題在FPGA設(shè)計(jì)中非常關(guān)鍵,只有深刻理解毛刺的本質(zhì),才有可能真正掌握設(shè)計(jì)的精髓,本文就FPGA設(shè)計(jì)中的毛刺問題進(jìn)行了深入的探討,分析其產(chǎn)生的原因和條件,給出了幾種常用的消除方法,希望對(duì)FPGA設(shè)計(jì)者有一定的參考作用。
          • 關(guān)鍵字: 毛刺  同步脈沖  FPGA  

          基于FPGA的測(cè)試控制板卡的設(shè)計(jì)與實(shí)現(xiàn)

          • 設(shè)計(jì)了一種以FPGA作為中心控制器件,配以多路模擬信號(hào)采集與輸出通道和多路數(shù)字信號(hào)輸入輸出通道,具有RS-232和RS-422串口通信功能的測(cè)試控制板卡。在Quartus 117.2編程平臺(tái)下,編輯了數(shù)據(jù)采集、處理和控制以及通信的硬件描述語言程序。經(jīng)應(yīng)用測(cè)試,滿足二維平臺(tái)系統(tǒng)的技術(shù)要求,具有良好的穩(wěn)定性和可升級(jí)性。
          • 關(guān)鍵字: 測(cè)試控制  模擬信號(hào)  FPGA  
          共6405條 68/427 |‹ « 66 67 68 69 70 71 72 73 74 75 » ›|

          fpga-spartan介紹

          您好,目前還沒有人創(chuàng)建詞條fpga-spartan!
          歡迎您創(chuàng)建該詞條,闡述對(duì)fpga-spartan的理解,并與今后在此搜索fpga-spartan的朋友們分享。    創(chuàng)建詞條

          熱門主題

          FPGA-SPARTAN    樹莓派    linux   
          關(guān)于我們 - 廣告服務(wù) - 企業(yè)會(huì)員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機(jī)EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國際技術(shù)信息咨詢有限公司
          備案 京ICP備12027778號(hào)-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();