<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁  資訊  商機   下載  拆解   高校  招聘   雜志  會展  EETV  百科   問答  電路圖  工程師手冊   Datasheet  100例   活動中心  E周刊閱讀   樣片申請
          EEPW首頁 >> 主題列表 >> fpga-spartan

          FPGA設計系統(tǒng)時鐘的影響因素及其分析

          • 時鐘是整個電路最重要、最特殊的信號,系統(tǒng)內(nèi)大部分器件的動作都是在時鐘的跳變沿上進行, 這就要求時鐘信號時延差要非常小, 否則就可能造成時序邏輯狀態(tài)出錯;因而明確FPGA設計中決定系統(tǒng)時鐘的因素,盡量較小時鐘的延時對保證設計的穩(wěn)定性有非常重要的意義。
          • 關鍵字: 信號時延  系統(tǒng)時鐘  FPGA  

          JavaCard指令處理器的FPGA設計和實現(xiàn)

          • 給出了一種基于微碼的JavaCard指令處理器的FPGA設計和實現(xiàn),以此JavaCard CPU為核心搭建的測試平臺已集成在一塊FPGA上實現(xiàn)。
          • 關鍵字: JavaCard  復雜指令集  FPGA  

          基于FPGA的LBS控制器設計

          • 通過對LBS控制器的控制信號、LBS總線讀寫操作時序、LBS狀態(tài)機進行分析,設計并實現(xiàn)了一個高效、可靠的LBS控制器來實現(xiàn)FPGA和PEX8311的通信系統(tǒng),在PEX8311和FPGA接口中運行狀態(tài)正常,穩(wěn)定性強,成功應用于某視頻采集卡、某PCIe數(shù)據(jù)采集卡等,基于FPGA設計的LBS控制器具有靈活性強、可編程能力強、適應性強等優(yōu)點。
          • 關鍵字: LBS控制器  PEX8311  FPGA  

          基于FPGA的跳頻通信系統(tǒng)設計

          • 本跳頻系統(tǒng)中,F(xiàn)PGA是硬件邏輯的載體,完成基帶信號采樣后的混頻、濾波等操作及對DDS、ADC等外部邏輯的控制;dsp控制FPGA內(nèi)部邏輯以及DDS、ADC等邏輯單元完成跳頻通信系統(tǒng)基帶部分的發(fā)射與接收及其一系列計算任務;高精度時鐘源為整個系統(tǒng)提供時間基準,經(jīng)過dsp、FPGA、DDS等器件內(nèi)部鎖相環(huán)倍頻,為各器件提供主時鐘。
          • 關鍵字: 跳頻通信  基帶模塊  FPGA  

          高速定點FFT算法的FPGA設計方案

          • 著重討論基于FPGA的64點高速FFT算法的實現(xiàn)方法。采用高基數(shù)結構和流水線結構,大大提高了FFT處理器的運行速度。同時塊浮點結構的引入,也大幅減少了浮點操作占用FPGA器件的資源數(shù)目,兼顧了FPGA高精度、低資源、低功耗的特點。
          • 關鍵字: 高速FFT算法  高基數(shù)結構  FPGA  流水線結構  

          基于Nios II的多媒體廣告系統(tǒng)

          • 電子屏的出現(xiàn),不僅可以使企業(yè)更全面的展示產(chǎn)品,推廣企業(yè)文化,而且可以滿足不同讀者的需求,改善城市環(huán)境,提升人民生活質量,更重要的是廣告能夠更及時、更準確、更全面的展示自己的新產(chǎn)品,第一時間與消費者進行溝通,贏得市場,獲取利潤,以及根據(jù)市場動態(tài)更及時更全面的做出市場決策?;谝陨显?,我們采用Nios II軟核設計了能及時發(fā)布戶外廣告、電子公告的多媒體廣告系統(tǒng)。
          • 關鍵字: NiosII處理器  多媒體廣告  FPGA  

          FPGA設計者需要練好5項基本功

          • 在我看來,成為一名說得過去的FPGA設計者,需要練好5項基本功:仿真、綜合、時序分析、調試、驗證。
          • 關鍵字: 設計流程  仿真  FPGA  綜合  時序分析  

          面向FPGA應用的電源設計

          • 近幾年,F(xiàn)PGA 產(chǎn)業(yè)迅速擴張,有越來越多的工程師從事著與 FPGA 相關的設計和研發(fā)工作。作為任何一款產(chǎn)品都不可或缺的電源,也面臨來自FPGA應用的要求和挑戰(zhàn)。一方面是需求的增多,另一方面的技術指標要求的不斷提升,如何幫助工程師輕松完成FPGA產(chǎn)品的電源設計,讓他們得以將更多的精力投入到核心部分的設計中,從而縮短設計周期,成了每個電源廠商要面對的問題。為此,筆者采訪了來自優(yōu)質電源產(chǎn)品供應商凌力爾特公司的DC/DC μModule 產(chǎn)品市場經(jīng)理Afshin Odabaee,來聽一聽他對面向FPGA應用的電
          • 關鍵字: 靜態(tài)電流  散熱  FPGA  

          基于EDA技術的FPGA設計

          • 對傳統(tǒng)電子系統(tǒng)設計方法與現(xiàn)代電子系統(tǒng)設計方法進行了比較,引出了基于EDA技術的現(xiàn)場可編程門陣列(FPGA)電路,提出現(xiàn)場可編程門陣列(FPGA)是近年來迅速發(fā)展的大規(guī)??删幊虒S眉呻娐罚ˋSIC),在數(shù)字系統(tǒng)設計和控制電路中越來越受到重視。介紹了這種電路的基本結構、性能特點、應用領域及使用中的注意事項。對基于EDA技術的FPGA進行了展望。指出EDA技術將是未來電子產(chǎn)品設計技術發(fā)展的主要方向。
          • 關鍵字: 自動化設計  EDA  FPGA  

          采用EDA或FPGA實現(xiàn)IP保護

          • 提出一種結合電子設計自動化(Electronic Design Automation,簡稱EDA)軟件和FPGA的IP核保護機制。通過在EDA工具中加入保護機制防止設計者非授權使用IP核,在FPGA中加入保護機制防止設計被非法復制、竊取或篡改。
          • 關鍵字: IP保護  EDA  FPGA  

          基于FPGA的H.264幀內(nèi)預測模塊設計

          • 提出一種能實時處理的H.264/AVC幀內(nèi)預測硬件結構。通過對H.264/AVC各個預測模式的分析,設計了一個通用運算單元,提高了硬件資源的可重用性。采用4個并行運算單元計算預測值,對運算比較復雜的plane模式預處理,并設計模式預測器,加快了系統(tǒng)處理速度。硬件電路結構已通過RTL級仿真及綜合,并在Altera公司的Cyclone II FPGA平臺上進行了驗證和測試。
          • 關鍵字: H.264幀內(nèi)預測  視頻解碼器  FPGA  

          基于FPGA/Nios-Ⅱ的矩陣運算硬件加速器設計

          • 針對復雜算法中矩陣運算量大,計算復雜,耗時多,制約算法在線計算性能的問題,從硬件實現(xiàn)角度,研究基于FPGA/Nios-Ⅱ的矩陣運算硬件加速器設計,實現(xiàn)矩陣并行計算。首先根據(jù)矩陣運算的算法分析,設計了矩陣并行計算的硬件實現(xiàn)結構,并在Modelsim中進行功能模塊的仿真,然后將功能模塊集成一個自定制組件,并通過Avalon總線與NiosⅡ主處理器通信,作為硬件加速器。最后在FPGA芯片中構建SoPC系統(tǒng),并在Altera DE3開發(fā)板中進行矩陣實時計算測試。測試結果驗證了基于FPGA/Nios-Ⅱ矩陣運算硬件
          • 關鍵字: 硬件加速器  矩陣運算  FPGA  

          TD-LTE綜合測試儀表關鍵模塊的研究與實現(xiàn)

          • 在對OFDM調制以及FPGA、DSP、中頻接口進行深入研究的基礎上,提出了一種TD-LTE系統(tǒng)中下行鏈路基帶信號發(fā)送的實現(xiàn)方案,在系統(tǒng)的設計思路和硬件資源上進行了優(yōu)化。在實際的硬件環(huán)境下,通過大量測試,驗證了該方案的可行性和有效性。
          • 關鍵字: TD-LTE  基帶信號發(fā)送  FPGA  

          基于FPGA的腦機接口實時系統(tǒng)

          • 給出了以FPGA為核心,實現(xiàn)基于瞬態(tài)視覺誘發(fā)電位的腦機接口實時系統(tǒng)的方案。該方案包括腦電采集電路、基于FPGA的VGA視覺刺激器和FPGA開發(fā)板三部分。用FPGA取代計算機,作為腦機接口的控制和信息處理器。利用VHDL編程,在FPGA中實時處理采集的腦電信號,提取并識別瞬態(tài)視覺誘發(fā)電位信號,轉換為控制命令,反饋給視覺刺激器。實驗結果表明,本方案可以有效地實現(xiàn)腦機接口實時系統(tǒng),并達到較高的正確率和通信速度。
          • 關鍵字: 腦機接口  VGA視覺刺激器  FPGA  

          基于FPGA具有自適應功能的數(shù)據(jù)采集系統(tǒng)設計

          • 為了滿足工業(yè)上數(shù)據(jù)采集的自適應需要,本文采用FPGA設計實現(xiàn)了高速數(shù)據(jù)采集,整個系統(tǒng)分為高速數(shù)據(jù)采集模塊、數(shù)據(jù)緩沖模塊、數(shù)據(jù)存儲模塊。其中數(shù)據(jù)采集模塊對濾波放大后的輸入信號進行采樣,采樣率可調;數(shù)據(jù)緩沖模塊負責對采樣得到的數(shù)據(jù)進行緩存:數(shù)據(jù)存儲模塊負責將緩存后的數(shù)據(jù)傳輸至存儲器進行存儲。使用Quartus Ⅱ仿真工具對各子模塊功能進行了時序仿真,最后介紹了本設計中制作的兩塊電路板并加以調試,測試結果表明本設計滿足系統(tǒng)指標。
          • 關鍵字: 自適應  程控放大器  FPGA  
          共6405條 75/427 |‹ « 73 74 75 76 77 78 79 80 81 82 » ›|

          fpga-spartan介紹

          您好,目前還沒有人創(chuàng)建詞條fpga-spartan!
          歡迎您創(chuàng)建該詞條,闡述對fpga-spartan的理解,并與今后在此搜索fpga-spartan的朋友們分享。    創(chuàng)建詞條

          熱門主題

          FPGA-SPARTAN    樹莓派    linux   
          關于我們 - 廣告服務 - 企業(yè)會員服務 - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產(chǎn)品世界》雜志社 版權所有 北京東曉國際技術信息咨詢有限公司
          備案 京ICP備12027778號-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();