<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁  資訊  商機   下載  拆解   高校  招聘   雜志  會展  EETV  百科   問答  電路圖  工程師手冊   Datasheet  100例   活動中心  E周刊閱讀   樣片申請
          EEPW首頁 >> 主題列表 >> rf-fpga

          美高森美發(fā)布高性能 SmartFusion2 SoC FPGA雙軸電機控制套件

          •   致力于在電源、安全、可靠和性能方面提供差異化半導體技術方案的領先供應商美高森美公司(Microsemi Corporation) 宣布提供帶有模塊化電機控制IP集和參考設計的SmartFusion2™ SoC FPGA雙軸電機控制套件。這款套件使用單一SoC FPGA器件來簡化電機控制設計,可加快上市速度并可擴展用于工業(yè)、航空航天和國防等多個行業(yè),典型應用包括工廠和過程自動化、機器人、運輸、航空電子和國防電機控制平臺。這款SoC器件集成了多個系統(tǒng)功能,有助于降低總體運營成本。   Sma
          • 關鍵字: Microsemi  FPGA  

          LEON2應用于數(shù)字機頂盒CPU的FPGA仿真

          •   采用免費軟核LEON2作為數(shù)字機頂盒的CPU可以降低產(chǎn)品成本。為了使LEON2軟核能更快更好地應用于數(shù)字機頂盒,選擇先在FPGA開發(fā)板上建立基于LEON2處理器的一個原型,通過這個原型對硬件性能進行仿真,并且還可以在線修改程序,這樣就很容易驗證系統(tǒng)的性能,加速軟件開發(fā)調(diào)試流程。經(jīng)過在FPGA開發(fā)板上的仿真,對基于LEON2的系統(tǒng)測試取得了預期的效果。   0 引 言   近年來,隨著數(shù)字多媒體業(yè)務和Internet網(wǎng)絡的迅速發(fā)展,新型數(shù)字機頂盒可以有效利用我國巨大的有線電視網(wǎng)絡資源,完成視頻點播、
          • 關鍵字: LEON2  FPGA  

          Leon3軟核的FPGA SelectMap接口配置設計

          •   引言   嵌入式系統(tǒng)的硬件通常包括CPU、存儲器和各種外設器件,其中CPU是系統(tǒng)的核心,其重要性不言而喻。隨著FPGA和SOPC技術的發(fā)展,基于FPGA的嵌入式系統(tǒng)與傳統(tǒng)的嵌入式系統(tǒng)相比,具有設計周期短、設計風險和設計成本低、集成度高、靈活性大、維護和升級方便、硬件缺陷修復等優(yōu)點?;贔PGA的嵌入式系統(tǒng)設計技術和市場逐漸成熟,使得嵌入式CPU軟核(如Xilinx公司推出的MicroBlaze、Altera公司的Nios、歐空局開發(fā)的Leon3軟核等)的大量應用成為可能。   Virtex系列FP
          • 關鍵字: FPGA  SelectMap  

          基于DSP與FPGA的機器人聲控系統(tǒng)設計方案

          •   1 引言   機器人聽覺系統(tǒng)主要是對人的聲音進行語音識別并做出判斷,然后輸出相應的動作指令控制頭部和手臂的動作,傳統(tǒng)的機器人聽覺系統(tǒng)一般是以PC機為平臺對機器人進行控制,其特點是用一臺計算機作為機器人的信息處理核心通過接口電路對機器人進行控制,雖然處理能力比較強大,語音庫比較完備,系統(tǒng)更新以及功能拓展比較容易,但是比較笨重,不利于機器人的小型化和復雜條件下進行工作,此外功耗大、成本高。   本次設計采用了性價比較高的數(shù)字信號處理芯片TMS320VC5509作為語音識別處理器,具有較快的處理速度,使
          • 關鍵字: DSP  FPGA  

          DSP和FPGA在大尺寸激光數(shù)控加工系統(tǒng)中的運用

          •   激光切割和雕刻以其精度高、視覺效果好等特性,被廣泛運用于廣告業(yè)和航模制造業(yè)。在大尺寸激光加工系統(tǒng)的開發(fā)過程中,加工速度與加工精度是首先要解決的問題。解決速度問題的一般方法是在電機每次運動前、后設置加、減速區(qū),但這會使加工數(shù)據(jù)總量成倍增加。除此之外,龐大的數(shù)據(jù)計算量也需要一個專門的高性能處理器來實現(xiàn)。   FPGA(現(xiàn)場可編程門陣列)在并行信號處理方面具有極大的優(yōu)勢。本系統(tǒng)采用FPGA作為加工數(shù)據(jù)的執(zhí)行器件。這種解決方案突出的特點是讓運動控制的處理部分以獨立的、硬件性方式展開,增加系統(tǒng)的性能和可靠性,
          • 關鍵字: DSP  FPGA  

          零基礎學FPGA (十八) 談可編程邏輯設計思想與技巧!對您肯定有用!

          •   今天給大家?guī)淼氖俏覀冊贔PGA設計中經(jīng)常要遇到的設計技巧與思想,即乒乓操作,串并轉換,流水線操作和跨時鐘域信號的同步問題。   之前也看過一些書,也在網(wǎng)上找過一些資料,不過小墨發(fā)現(xiàn)大部分都是理論講解,僅僅是給一個框圖就沒事了,或者是好幾個網(wǎng)站的資料都是一樣的,都是復制的一個地方的,僅僅是講解,沒有實例,要不就是某個網(wǎng)站提供源碼,但是要注冊,還要花什么積分,沒有積分還得要錢...很不利于初學者的學習(人與人之間怎么就不能多點信任呢~還要錢...)。所以小墨想寫這么一篇文章來介紹一下這4種思想,理論部
          • 關鍵字: FPGA  可編程邏輯設計  

          【從零開始走進FPGA】 SignalTap II Logic Analyzer

          •   一、為啥別忘了我   嵌入式邏輯分析儀—SigbalTap II,是Altera Quartus II 自帶的嵌入式邏輯分析儀,與Modelsim軟件仿真有所不同,是在線式的仿真,更準確的觀察數(shù)據(jù)的變化,方便調(diào)試。   很多學過單片機的孩子認為,單片機可以在線單步調(diào)試,而FPGA是并發(fā)的,不能單步調(diào)試,這使得FPGA的調(diào)試學習帶來了困難。其實這個說法不是完全正確的。別忘了,還有SignalTap II Logic Analyzer。有了這個嵌入式邏輯分析儀,在調(diào)試多通道或單通道數(shù)據(jù),進
          • 關鍵字: FPGA  SignalTap   

          基于FPGA和51單片機的信號發(fā)生器設計

          •   信號發(fā)生器又稱為波形發(fā)生器是一種常用的信號源并且廣泛應用于電子電路、通信、控制和教學實驗等領域的重要儀器之一。為了降低傳統(tǒng)函數(shù)信號發(fā)生器成本,改善信號發(fā)生器低頻穩(wěn)定性。筆者結合FPGA和51單片機產(chǎn)生0.596 Hz頻率精度函數(shù)信號。筆者設計通過51單片機控制函數(shù)信號類型以及相關參數(shù),用戶可通過按鍵設置需要的波形、波形幅度、波形頻率以及方波的占空比、相位。本文設計方案不僅具有良好的經(jīng)濟前景,也可以為當代高等教育深化改革做一個參考方向。   1 系統(tǒng)設計方案   1.1 系統(tǒng)硬件設計   本文中設
          • 關鍵字: FPGA  51單片機  

          FPGA系統(tǒng)設計的仿真驗證之: ModelSim的仿真流程

          •   7.3 ModelSim的仿真流程   7.3.1 ModelSim的安裝   ModelSim的最新版本可以從互連網(wǎng)上免費得到,需要購買的只是License文件。ModelSim的下載地址為http://www.model.com/。打開網(wǎng)站頁面后可以點擊Download,用戶填寫完一張表格以后可以得到一個小時的下載時間。   獲得License的方法有很多種。   首先可以在線申請License文件,選取“開始→程序→ModelSim SE 5.8c&rar
          • 關鍵字: FPGA  ModelSim  

          FPGA系統(tǒng)設計的仿真驗證之: ModelSim仿真工具簡介

          •   7.2 ModelSim仿真工具簡介   ModelSim是Model Technology(Mentor Graphics的子公司)的DHL硬件描述語言的仿真軟件,該軟件可以用來實現(xiàn)對設計的VHDL、Verilog或者是兩種語言混合的程序進行仿真,同時也支持IEEE常見的各種硬件描述語言標準。   無論從友好的使用界面和調(diào)試環(huán)境來看,還是從仿真速度和仿真效果來看,ModelSim都可以算得上是業(yè)界最優(yōu)秀的HDL語言仿真軟件。它是惟一的單內(nèi)核支持VHDL和Verilog混合仿真的仿真器,是做FPG
          • 關鍵字: FPGA  ModelSim  

          基于Modelsim FLI接口的FPGA仿真技術

          •   1、Modelsim 及 FLI接口介紹   Modelsim是 Model Technology(Mentor Graphics的子公司)的 HDL 硬件描述語言仿真軟件,可以實現(xiàn) VHDL, Verilog,以及 VHDL-Verilog 混合設計的仿真。除此之外,Modelsim還能夠與 C 語言一起實現(xiàn)對 HDL 設計文件的協(xié)同仿真。同時,相對于大多數(shù)的 HDL 仿真軟件來說,Modelsim 在仿真速度上也有明顯優(yōu)勢。這些特點使 Modelsim 越來越受到 EDA設計者、尤其是 FPGA
          • 關鍵字: Modelsim  FPGA  

          16個信號源設計匯總,包括無線電、DDS等

          •   信號發(fā)生器是一種能提供各種頻率、波形和輸出電平電信號的設備。在測量各種電信系統(tǒng)或電信設備的振幅特性、頻率特性、傳輸特性及其它電參數(shù)時,以及測量元器件的特性與參數(shù)時,用作測試的信號源或激勵源。   無線電導航數(shù)字信號源的系統(tǒng)設計,完整參考方案   本無線電導航數(shù)字信號源總體設計思想采用直接數(shù)字頻率合成器(DDS)技術,設計精確的時鐘參考源精度、頻率和相位累加器字長和正弦波函數(shù)表,實現(xiàn)研制技術要求的輸出頻率變化范圍、頻率變化步長和頻率精度的調(diào)制正弦信號形式。   基于DDFS的程控音頻儀器測試信號源
          • 關鍵字: 無線電  FPGA  

          基于FPGA的高精度信號源的設計

          •   引言   近年來電子信息技術飛速發(fā)展,使得各領域對信號源的要求不斷提高,不但要求其頻率穩(wěn)定度和準確度高,頻率改變方便,而且還要求可以產(chǎn)生任意波形,輸出不同幅度的信號等。DDFS技術是自上世紀70年代出現(xiàn)的一種新型的直接頻率合成技術。DDFS技術是在信號的采樣定理的基礎上提出來的,從“相位”的概念出發(fā),進行頻率合成,不但可利用晶體振蕩的高頻率穩(wěn)定度、高準確度,且頻率改變方便,轉換速度快,便于產(chǎn)生任意波形等,因此,DDFS技術是目前高精密度信號源的核心技術。   1 DDFS技
          • 關鍵字: FPGA  DDFS  

          基于DDS跳頻信號源的設計與實現(xiàn)

          •   0 引言   跳頻通信具有較強的抗干擾、抗多徑衰落、抗截獲等能力,已廣泛應用于軍事、交通、商業(yè)等各個領域。頻率合成器是跳頻系統(tǒng)的心臟,直接影響到跳頻信號的穩(wěn)定性和產(chǎn)生頻率的準確度。目前頻率合成主要有三種方法:直接模擬合成法、鎖相環(huán)合成法和直接數(shù)字合成法(DDS)。直接模擬合成法利用倍頻(乘法)、分頻(除法)、混頻(加法與減法)及濾波,從單一或幾個參考頻率中產(chǎn)生多個所需的頻率。該方法頻率轉換時間快(小于100ns),但是體積大、功耗高,目前已基本不用。鎖相環(huán)合成法通過鎖相環(huán)完成頻率的加、減、乘、除運算
          • 關鍵字: DDS  FPGA  

          小梅哥和你一起深入學習FPGA之數(shù)碼管動態(tài)掃描(下)

          •        測試平臺設計   本實驗主要對數(shù)碼管驅動引腳的狀態(tài)與預期進行比較和分析,通過仿真,驗證設計的正確性和合理性。數(shù)碼管驅動模塊的testbench如下所示:   `timescale 1ns/1ns   module DIG_LED_DRIVE_tb;   reg [23:0]data;   reg clk;   reg rst_n;   wire [7:0]seg;   wire [2:0]sel;   DIG_LED_DRIVE DIG_LED_DRIVE
          • 關鍵字: FPGA  動態(tài)掃描  
          共7012條 133/468 |‹ « 131 132 133 134 135 136 137 138 139 140 » ›|

          rf-fpga介紹

          您好,目前還沒有人創(chuàng)建詞條rf-fpga!
          歡迎您創(chuàng)建該詞條,闡述對rf-fpga的理解,并與今后在此搜索rf-fpga的朋友們分享。    創(chuàng)建詞條

          熱門主題

          樹莓派    linux   
          關于我們 - 廣告服務 - 企業(yè)會員服務 - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產(chǎn)品世界》雜志社 版權所有 北京東曉國際技術信息咨詢有限公司
          備案 京ICP備12027778號-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();