<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁  資訊  商機   下載  拆解   高校  招聘   雜志  會展  EETV  百科   問答  電路圖  工程師手冊   Datasheet  100例   活動中心  E周刊閱讀   樣片申請
          EEPW首頁 >> 主題列表 >> rf-fpga

          基于TMS320C6416與FPGA的實時光電圖像識別系統(tǒng)

          • 基于TMS320C6416與FPGA的實時光電圖像識別系統(tǒng),光電混合模式識別以其高速并行處理和無串擾的優(yōu)點成為實現(xiàn)模式識別實用化和實時化的重要途徑,其在目標識別、指紋識別、光纖檢測、工業(yè)零件識別、汽車牌照識別等領域得到了廣泛的研究和應用[1.2],并取得了很好的識別
          • 關鍵字: 圖像  識別  系統(tǒng)  光電  實時  TMS320C6416  FPGA  基于  

          FPGA設計中毛刺信號解析

          • FPGA設計中毛刺信號解析,在FPGA的設計中,毛刺現(xiàn)象是長期困擾電子設計工程師的設計問題之一, 是影響工程師設計效率和數(shù)字系統(tǒng)設計有效性和可靠性的主要因素。由于信號在FPGA的內(nèi)部走線和通過邏輯單元時造成的延遲,在多路信號變化的瞬間,組合
          • 關鍵字: 解析  信號  毛刺  設計  FPGA  

          基于FPGA雷達多目標模擬器DRFM設計與實現(xiàn)

          • 研究了雷達多目標模擬系統(tǒng)中數(shù)字射頻存儲(DRFM)單元的設計與實現(xiàn),根據(jù)模擬系統(tǒng)的設計要求, 提出一種基于高性能 FPGA數(shù)字射頻存儲單元設計方法;著重闡述了數(shù)字射頻存儲單元的設計思路, 給出了系統(tǒng)的設計方案, 并對系統(tǒng)中雷達模擬目標的各功能模塊進行了分析,實驗結(jié)果表明,所設計的DRFM滿足設計系統(tǒng)要求。
          • 關鍵字: FPGA  DRFM  雷達  多目標    

          基于FPGA的PCM3032路系統(tǒng)信號同步數(shù)字復接設計

          • 摘要:在現(xiàn)代數(shù)字通信系統(tǒng)中,為了擴大信道的傳輸容量提高信號傳輸效率,常采用數(shù)字復接的技術。在分析了PCM30/32...
          • 關鍵字: FPGA  PCM30  信號同步  

          基于FPGA的超聲波信號處理研究

          • 摘要:為了降低超聲波流量檢測過程中噪聲對檢測精度的影響,采用FPGA器件構(gòu)建了FIR濾波器,并提出一種新穎的查表法替代濾波器中的乘法運算。試驗結(jié)果表明,該濾波器設計方法顯著降低了FPGA的片內(nèi)硬件開銷,提高了濾波
          • 關鍵字: FPGA  超聲波  信號處理    

          基于FPGA的PCM30/32路系統(tǒng)信號同步數(shù)字復接設計

          • 摘要:在現(xiàn)代數(shù)字通信系統(tǒng)中,為了擴大信道的傳輸容量提高信號傳輸效率,常采用數(shù)字復接的技術。在分析了PCM30/32路系統(tǒng)基群信號幀結(jié)構(gòu)的基礎上,以EDA綜合仿真設計軟件QuartusⅡ8.0為開發(fā)平臺,利用Verilog HDL硬
          • 關鍵字: FPGA  PCM  30  系統(tǒng)    

          LTE中卷積碼的譯碼器設計與FPGA實現(xiàn)

          • 摘要:基于長期演進(LTE)的Tail-biting卷積碼,介紹了維特比譯碼算法,它是一種最優(yōu)的卷積碼譯碼算法。由于Tail-biting卷積碼的循環(huán)特性,采用固定延遲譯碼的方法,降低了譯碼復雜度。通過使用全并行的結(jié)構(gòu)及簡單的回
          • 關鍵字: FPGA  LTE  卷積碼  譯碼器    

          一種高速I2C總線從器件接口IP核的設計與實現(xiàn)

          • I2C總線作為一種事實上的國際標準,在超過100種不同的IC上實現(xiàn)并且得到超過50家公司的許可。它具有連線少,結(jié)構(gòu)簡單的特點。本文介紹了一種高速I2C從器件接口電路IP核設計。在系統(tǒng)應用中,單片機作為主控器件,本IP核作為從器件中的接口電路,它們通過I2C總線相連,實現(xiàn)MCU對IC或FPGA中相關寄存器的訪問。從而代替了MCU通用的地址數(shù)據(jù)接口,大大減少了IC或FPGA的管腳數(shù)量,節(jié)省了I/O資源,這對于I/O資源緊張的IC設計和FPGA開發(fā)是非常有意義的。
          • 關鍵字: FPGA  I2C總線  IP核  201107  

          基于FPGA的高速通道校正實現(xiàn)

          • 當今社會無線通信迅猛發(fā)展,無線通信用戶激增,要解決通信系統(tǒng)容量、帶寬限制等這些嚴重問題的一個關鍵技術就是多天線通信技術。這項技術的使用能大幅度地提高無線通信系統(tǒng)的頻譜效率和鏈路可靠性,與單天線系統(tǒng)相比,用多天線系統(tǒng)發(fā)射和接收信號能獲得陣列增益(或稱波束形成增益)、分集增益、多路復用增益和干擾抑制等優(yōu)勢。然而多天線技術帶來諸多優(yōu)勢的同時會不可避免地引起通道不一致性問題[1]。在實際工程應用中,陣列接收機的多個通道由于PCB(印制電路板)走線長度不等、通道特性存在差異等硬件的非理想因素,導致多個通道接收到的
          • 關鍵字: FPGA,Xilinx  201107  

          CDMA 2000系統(tǒng)中前向鏈路卷積編碼器的FPGA實現(xiàn)

          • 摘要:為了縮短卷積編碼器設計周期,使硬件設計更具靈活性,在介紹卷積編碼器原理的基礎上,論述了一種基于可編程邏輯器件,采用模塊化設計方法,利用VHDL硬件描述語言實現(xiàn)CDMA2000系統(tǒng)前向鏈路卷積編碼器的方法,給
          • 關鍵字: FPGA  實現(xiàn)  編碼器  鏈路  系統(tǒng)  CDMA  

          利用FPGA平臺架構(gòu)提升信息娛樂系統(tǒng)設計靈活性

          • 開發(fā)車載信息娛樂系統(tǒng)面臨著前所未有的挑戰(zhàn)。事實上,支持眾多不一致甚至矛盾的要求需要采用全新的思路。設計...
          • 關鍵字: 車載信息娛樂系統(tǒng)  FPGA  OEM  

          高速通信的混頻器和調(diào)制器設計

          • 電子產(chǎn)品世界,為電子工程師提供全面的電子產(chǎn)品信息和行業(yè)解決方案,是電子工程師的技術中心和交流中心,是電子產(chǎn)品的市場中心,EEPW 20年的品牌歷史,是電子工程師的網(wǎng)絡家園
          • 關鍵字: 高速通信  混頻器  調(diào)制器  混頻  RF  

          基于FPGA和DSP的高壓變頻器中性點偏移技術的算法實現(xiàn)

          • 基于FPGA和DSP的高壓變頻器中性點偏移技術的算法實現(xiàn),   1 中性點偏移技術原理分析  目前國內(nèi)生產(chǎn)的高壓變頻器大多采用功率單元串聯(lián)疊加多電平,VVVF控制方式。其拓撲結(jié)構(gòu)如圖1 所示。A、B、C三相各6 個功率單元,每個功率單元輸出電壓為577 V,相電壓UAO=UBO=UCO=3
          • 關鍵字: 技術  算法  實現(xiàn)  中性  變頻器  FPGA  DSP  高壓  基于  

          基于軟件測試技術的FPGA測試研究

          • 基于對FPGA系統(tǒng)失效機理的深入分析, 提出了軟件測試技術在FPGA測試中的應用, 并分析了其可行性; 通過對比FPGA與軟件系統(tǒng)的異同, 歸納出FPGA特有的測試要求,從而在軟件測試技術的基礎上針對FPGA的特點進行改進, 形成了一套實用的FPGA測試方法。
          • 關鍵字: FPGA  軟件  測試技術  測試    

          基于CPLD/FPGA的多串口設計與實現(xiàn)

          • 摘要:在工業(yè)控制中如何提高一對多的串口通訊可靠性和系統(tǒng)的集成性成為研究熱點。本文利用嵌入式技術,提出基于CPLD/FPGA的多串口擴展設計方案。實現(xiàn)并行口到多個全雙工異步通訊口之間的轉(zhuǎn)換,并根據(jù)嵌入式系統(tǒng)實時
          • 關鍵字: CPLD  FPGA  多串口    
          共7012條 285/468 |‹ « 283 284 285 286 287 288 289 290 291 292 » ›|

          rf-fpga介紹

          您好,目前還沒有人創(chuàng)建詞條rf-fpga!
          歡迎您創(chuàng)建該詞條,闡述對rf-fpga的理解,并與今后在此搜索rf-fpga的朋友們分享。    創(chuàng)建詞條

          熱門主題

          樹莓派    linux   
          關于我們 - 廣告服務 - 企業(yè)會員服務 - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國際技術信息咨詢有限公司
          備案 京ICP備12027778號-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();