<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁  資訊  商機   下載  拆解   高校  招聘   雜志  會展  EETV  百科   問答  電路圖  工程師手冊   Datasheet  100例   活動中心  E周刊閱讀   樣片申請
          EEPW首頁 >> 主題列表 >> xilinx fpga

          使用FPGA 控制VGA 顯示

          • 顯示器因為其輸出信息量大,輸出形式多樣等特點已經(jīng)成為現(xiàn)在大多數(shù)設計的常用輸出設備。在 FPGA 的設計中可以使用很少的資源,就產(chǎn)生 VGA 各種控制信號。這個示例在 RHicSP2200B FPGA 開發(fā)板/學習板上使用 VGA 接口在顯示器上顯示了文字以及簡單的圖形,可以作為VGA 顯示設計的參考,如果在使用這個例子的過程
          • 關(guān)鍵字: VGA  接口  FPGA  

          如何有效防止FPGA設計被克???

          • 據(jù)估計,目前盛行的假冒電子產(chǎn)品已經(jīng)占到整個市場份額的10%,這一數(shù)據(jù)得到了美國反灰色市場和反假冒聯(lián)盟(AGMA)的支持。AGMA是由惠普、思科和其它頂級電子OEM公司組成的一個行業(yè)組織。據(jù)該組織估計,制造商因盜版造成的損失超過1000億美元,而對最終用戶來說,信譽損毀和可靠性問題帶來的隱性成本則更難以確定。
          • 關(guān)鍵字: AGMA  可編程邏輯  FPGA  

          基于FPGA的DDR內(nèi)存條的控制研究

          • 隨著數(shù)據(jù)存儲量的日益加大以及存儲速度的加快,大容量的高速存儲變得越來越重要。內(nèi)存條既能滿足大容量的存儲又能滿足讀寫速度快的要求,這樣使得對內(nèi)存條控制的應用越來越廣泛。首先介紹了內(nèi)存條的工作原理,內(nèi)存條電路設計的注意事項,以及如何使用FPGA實現(xiàn)對DDR內(nèi)存條的控制,最后給出控制的仿真波形。
          • 關(guān)鍵字: DDR  內(nèi)存條  FPGA  

          FPGA控制CLC5958型A/D轉(zhuǎn)換器高速PCI采集

          •  隨著信息技術(shù)的發(fā)展,基于微處理器的數(shù)字信號處理在測控、通訊、雷達等各個領域得到廣泛的應用。被處理的模擬信號也在向高頻、寬帶方面發(fā)展,但這需要高速、高分辨率的數(shù)字采集卡以將模擬信號數(shù)字化。美國國家半導體公司新推出的系列高速、高分辨率模/數(shù)轉(zhuǎn)換器(如CLC5958)就非常適用于需要高速、高分辨率的信號采集系統(tǒng)。
          • 關(guān)鍵字: CLC5958型  A/D轉(zhuǎn)換器  FPGA  PCI  

          基于ARM+FPGA的食用花生油質(zhì)量快速檢測儀的設計

          • 采用ARM+FPGA結(jié)構(gòu)給出一種高性能的便攜式食用花生油質(zhì)量快速分析儀的設計。將可編程片上系統(tǒng)應用到儀器開發(fā)中,簡化系統(tǒng)硬件電路,提高系統(tǒng)設計靈活性。充分利用ARM芯片的高效控制功能結(jié)合FPGA靈活的多硬件接口模擬特性,便于攜帶,適合現(xiàn)場免化學試劑快速檢測。
          • 關(guān)鍵字: ARM  檢測儀  FPGA  

          基于CCD16點數(shù)學模型的全自動焦度計光學圖像系統(tǒng)的設計

          • 全自動焦度儀光學系統(tǒng)是產(chǎn)品設計的核心,為了提高自動焦度計的測量精度,提出一種新的測量圖像。該圖像在建立了16點數(shù)學模型并推導了鏡片相關(guān)參數(shù)的計算方法。該算法將16個點分為四組進行計算,并取各組計算結(jié)果
          • 關(guān)鍵字: 自動焦度計  16點數(shù)學模型  FPGA  面陣CCD  

          基于AD7892SQ和CPLD的數(shù)據(jù)采集系統(tǒng)

          • 0 引 言  本系統(tǒng)以AD7892SQ和CPLD(復雜可編程邏輯器件)為核心設計了一個多路信號采集電路,包括模擬多路復用、集成放大、A/D轉(zhuǎn)換,CPLD控制等。采用硬件描述語言V
          • 關(guān)鍵字: EDA  CPLD  FPGA  

          一種基于FPGA核系統(tǒng)的智能429-422信號轉(zhuǎn)換模塊的設計

          • 一種智能信號轉(zhuǎn)換模塊的設計方法。這種智能模塊采用了基于FPGA嵌入式軟核系統(tǒng),是基于NiosII軟核處理器的架構(gòu),可以在模塊上完全實現(xiàn)外部總線信號之間相互轉(zhuǎn)換,無需驅(qū)動程序或操作系統(tǒng)的干預。同時對用戶邏輯設計、用戶邏輯集成、固件設計技術(shù)等內(nèi)容進行了詳細的介紹。
          • 關(guān)鍵字: 智能  信號轉(zhuǎn)換  FPGA  模塊  

          數(shù)字測試儀下的參數(shù)測試單元的設計(圖)

          • 本文提出了一種高速度高精度的參數(shù)測量單元。該單元應用于數(shù)字測試儀,具備16通道選通測試能力和可編程指令集,同時自帶的PID循環(huán)驗證和Kelvin四線連接技術(shù)可以有效提高整個模擬參數(shù)測量精度,使測量儀在低于50Ω的負載情況下仍能維持不超過千分之一的測試誤差。
          • 關(guān)鍵字: 數(shù)字測試儀  PID循環(huán)驗證  FPGA  

          基于FPGA技術(shù)高頻疲勞試驗機控制器的設計

          • 現(xiàn)場可編程門陣列FPGA(FieldProgrammable Gate Array)是美國Xilinx公司于1984年首先開發(fā)的一種通用型用戶可編程器件。FPGA既具有門陣列器件的高集成度和通用性,又有可編程邏輯器件用戶可編程的靈活性。
          • 關(guān)鍵字: 定時器  FPGA  高頻疲勞試驗機  單片機  控制器  

          信號處理器設計

          • 該系統(tǒng)很好的實現(xiàn)了3G移動終端處理功能,但實際環(huán)境比仿真環(huán)境更復雜,需要給出解決辦法,然后再驗證。目前該方案實現(xiàn)了384 kb/s工作,使用3個時隙(每個時隙128 kb/s)
          • 關(guān)鍵字: MAX2410  FFT  FPGA  

          基于FPGA的視頻信號發(fā)生器設計與應用研究

          • 本文介紹了一種基于FPGA的新型視頻信號發(fā)生器,它可以滿足多種被測系統(tǒng)對輸入視頻信號制式的要求。該系統(tǒng)利用USB總線與上位機進行通信,同時解決了系統(tǒng)供電的問題。在FPGA內(nèi)部,通過軟件編程的方法生成視頻信號的圖像和時序控制信號,并送入視頻D /A模塊。
          • 關(guān)鍵字: 視頻信號發(fā)生器  視頻采集卡  FPGA  

          一種使用USB對ADSP_TS101S進行鏈路口加載的方案

          • 在DSP系統(tǒng)上運行的程序,系統(tǒng)上電復位后需要加載程序到DSP的程序存儲器內(nèi)。這是使用外部加載模式時的系統(tǒng)開發(fā)不可缺少的環(huán)節(jié)。針對實際需求,提出了一種使用USB對ADSP_TS101S進行鏈路口加載的方案,并介紹了設計思想和實現(xiàn)過程。實際應用的試驗證明,提出的加載方案有效且簡單易行。
          • 關(guān)鍵字: FIFO  程序固化  FPGA  

          基于ARM與FPGA控制的LTC2207在寬帶頻率特性測試儀數(shù)據(jù)采集中的應用

          • 引言數(shù)據(jù)采集技術(shù)是一種流行且實用的電子技術(shù)。它廣泛應用于信號檢測、信號處理、儀器儀表等領域。近年來,隨著數(shù)字化技術(shù)的不斷發(fā)展,數(shù)據(jù)采集技術(shù)也呈現(xiàn)出速度更高、通道更多、數(shù)據(jù)量更大的發(fā)展趨勢。本
          • 關(guān)鍵字: A/D  LTC2207  FPGA  數(shù)據(jù)采集技術(shù)  
          共6755條 85/451 |‹ « 83 84 85 86 87 88 89 90 91 92 » ›|

          xilinx fpga介紹

            Xilinx FPGA   Xilinx FPGA主要分為兩大類,一種側(cè)重低成本應用,容量中等,性能可以滿足一般的邏輯設計要求,如Spartan系列;還有一種側(cè)重于高性能應用,容量大,性能能滿足各類高端應用,如Virtex系列,用戶可以根據(jù)自己實際應用要求進行選擇。 在性能可以滿足的情況下,優(yōu)先選擇低成本器件。   Xilinx FPGA可編程邏輯解決方案縮短了電子設備制造商開發(fā)產(chǎn)品的時間 [ 查看詳細 ]

          熱門主題

          樹莓派    linux   
          關(guān)于我們 - 廣告服務 - 企業(yè)會員服務 - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國際技術(shù)信息咨詢有限公司
          備案 京ICP備12027778號-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();