<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁(yè) > 模擬技術(shù) > 設(shè)計(jì)應(yīng)用 > 高速流水線浮點(diǎn)加法器的FPGA實(shí)現(xiàn)

          高速流水線浮點(diǎn)加法器的FPGA實(shí)現(xiàn)

          作者: 時(shí)間:2010-02-03 來源:網(wǎng)絡(luò) 收藏
          normalize模塊的作用主要是將前三個(gè)模塊的運(yùn)算結(jié)果規(guī)范為IEEE 754單精度數(shù)標(biāo)準(zhǔn),若sum_bexp、sum_ma、sum_csgn為輸入信號(hào)(其含義見sum模塊),則其輸出的運(yùn)算結(jié)果(在一個(gè)時(shí)鐘周期內(nèi)完成)只有一個(gè)和輸出(data_out),也就是符合IEEE754數(shù)標(biāo)準(zhǔn)的兩個(gè)輸入數(shù)的和。

          4系統(tǒng)綜合與仿真

          由于本工程是由compare、shift、sum、normalize四個(gè)模塊組成的,而這四個(gè)模塊通過串行方式進(jìn)行連接,每個(gè)模塊的操作都在一個(gè)時(shí)鐘周期內(nèi)完成,因此,整個(gè)浮點(diǎn)數(shù)加法運(yùn)算可在四個(gè)時(shí)鐘周期內(nèi)完成。這使得工程不僅有確定的數(shù)據(jù)運(yùn)算時(shí)延(latency),便于實(shí)現(xiàn),而且方便占用的時(shí)鐘周期盡可能減少,從而極大地提高了運(yùn)算的實(shí)時(shí)性。

          4.1工程綜合結(jié)果

          經(jīng)過Quartus II綜合可知,本設(shè)計(jì)使用的StratixⅡEP2S15F484C3芯片共使用了641個(gè)ALUT(高級(jí)查找表)、188個(gè)寄存器、0位內(nèi)存和可達(dá)到80 MHz的時(shí)鐘頻率,因此可證明,本系統(tǒng)利用合理的資源實(shí)現(xiàn)了高速浮點(diǎn)數(shù)加法運(yùn)算。

          4.2工程仿真結(jié)果

          本工程仿真可使用Quartus II 8.0內(nèi)嵌式仿真工具來編寫Matlab程序,以生成大量隨機(jī)單精度浮點(diǎn)數(shù)(以便于提高仿真代碼覆蓋率,提高仿真的精確度),然后計(jì)算它們相加的結(jié)果,并以文本形式存放在磁盤文件中。編寫Matlab程序可產(chǎn)生作為仿真輸入的*.vec文件,然后通過時(shí)序仿真后生成*.tbl文件,再編寫Matlab程序提取其中有用的結(jié)果數(shù)據(jù),并與先前磁盤文件中的結(jié)果相比較,以驗(yàn)證設(shè)計(jì)的正確性。

          圖3所示是其仿真的波形圖。

          從圖3可以看出表1所列的各種運(yùn)算關(guān)系。表2所列為其實(shí)際的測(cè)試數(shù)據(jù)。



          表中“A+B實(shí)數(shù)表示(M)”指Matlab計(jì)算的結(jié)果;“誤差”指浮點(diǎn)處理器計(jì)算結(jié)果與Matlab計(jì)算結(jié)果之差。

          綜上所述,本工程設(shè)計(jì)的浮點(diǎn)所得到的運(yùn)算結(jié)果與Matlab結(jié)果的誤差在10-7左右,可見其精度完全能夠符合要求。

          5 結(jié)束語

          本工程設(shè)計(jì)完全符合IP核設(shè)計(jì)的規(guī)范流程,而且完成了Verilog HDL建模、功能仿真、綜合、時(shí)序仿真等IP核設(shè)計(jì)的整個(gè)過程,電路功能正確。實(shí)際上,本系統(tǒng)在布局布線后,其系統(tǒng)的最高時(shí)鐘頻率可達(dá)80MHz。雖然使用浮點(diǎn)數(shù)會(huì)導(dǎo)致舍入誤差,但這種誤差很小,可以忽略。實(shí)踐證明,本工程利用結(jié)構(gòu),方便地實(shí)現(xiàn)了高速、連續(xù)、大數(shù)據(jù)量浮點(diǎn)數(shù)的加法運(yùn)算,而且設(shè)計(jì)結(jié)構(gòu)合理,性能優(yōu)異,可以應(yīng)用在高速信號(hào)處理系統(tǒng)中。

          上一頁(yè) 1 2 3 下一頁(yè)

          關(guān)鍵詞: FPGA 流水線 浮點(diǎn) 加法器

          評(píng)論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();