<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁 > EDA/PCB > 設(shè)計(jì)應(yīng)用 > 基于FPGA的應(yīng)力應(yīng)變信號(hào)監(jiān)測系統(tǒng)的研究設(shè)計(jì)

          基于FPGA的應(yīng)力應(yīng)變信號(hào)監(jiān)測系統(tǒng)的研究設(shè)計(jì)

          作者: 時(shí)間:2013-03-25 來源:網(wǎng)絡(luò) 收藏

          MAX197工作時(shí),參考電壓可以由片內(nèi)提供或片外輸入,視實(shí)際需要而定,當(dāng)使用片內(nèi)參考電壓時(shí),可在REFADJ端和REF端分別獲得精度為±1.5%的參考電壓2.5V和4.096V。當(dāng)使用外部參考電壓時(shí),參考電壓可以分為從REF或REFADJ輸入當(dāng)從REF輸入時(shí),只要把REFADJ和VDD相連,并在輸入端REF和地之間加接一個(gè)4.7μF旁路電容;當(dāng)從REFADJ輸入時(shí),只要REF端通過4.7μF電容旁路到地,并在輸入端與地之間接一個(gè)0.01μF旁路電容,這種輸入方式可以省掉外接緩沖放大器,MAX197的電路接法如圖3所示。

          本文引用地址:http://www.ex-cimer.com/article/189659.htm

          c.JPG



          4 基于的控制模塊的設(shè)計(jì):
          本設(shè)計(jì)利用SOPC技術(shù)存內(nèi)部集成Nios II嵌入式處理器作為控制模塊,可以像單片機(jī)一樣用C語言對(duì)其進(jìn)行編程開發(fā),易于實(shí)現(xiàn)復(fù)雜功能,而且使用Nios II開發(fā)時(shí)可以根據(jù)實(shí)際情況選擇所需的PIO和外設(shè)數(shù)量,定制出所需的系統(tǒng),避免資源浪費(fèi),降低系統(tǒng)功耗。
          4.1 Nios II軟核的搭建
          首先需要添加的是CPU,NiosII軟核為用戶提供了三種具有不同功能的CPU配置。本系統(tǒng)選擇Nios II/f類型,對(duì)系統(tǒng)所需CPU的性能和邏輯資源的占用率進(jìn)行了平衡。
          4.2 建立鎖相環(huán)PLL模塊
          搭建好軟核之后還需要建立一個(gè)鎖相環(huán),對(duì)時(shí)鐘進(jìn)行倍頻.由于前期所用的開發(fā)板上是20MHz的有源品振,需要將其倍頻到100MHz以滿足之前搭建的軟核的時(shí)鐘,還需要為SDRAM提供100MHz的時(shí)鐘,在Quartus II9.0中用HDL語言設(shè)計(jì)生成的A/D控制模塊如圖4所示。

          d.JPG

          fpga相關(guān)文章:fpga是什么




          評(píng)論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();