<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁 > EDA/PCB > 設(shè)計應(yīng)用 > 基于FPGA視頻圖像的Canny算法加速器的設(shè)計

          基于FPGA視頻圖像的Canny算法加速器的設(shè)計

          作者: 時間:2012-07-25 來源:網(wǎng)絡(luò) 收藏


          4 系統(tǒng)驗證和結(jié)果分析
          為了能清楚直觀地驗證加速的加速效果,本文在系統(tǒng)平臺上對相同的圖像分別用加速/未加速的系統(tǒng)做處理,記錄相應(yīng)時間并比較。
          本文采用的驗證系統(tǒng)平臺以Altera公司CycloneⅡ系列中的EP2C20F484C8芯片為核心搭建而成,如圖4所示。在驗證系統(tǒng)設(shè)計中實現(xiàn)一個串口用來和PC機(jī)中的上位機(jī)通信,把處理完后的數(shù)據(jù)經(jīng)過串口傳輸給上位機(jī)。數(shù)據(jù)在串口的傳輸過程中的延遲時間是固定的,故從上位機(jī)接收到第一個數(shù)據(jù)到最后一個數(shù)據(jù)的時間差即為一幀圖像處理所花費的時間。

          本文引用地址:http://www.ex-cimer.com/article/190119.htm

          j.JPG


          本文選取了不同大小的3幅圖片做實驗驗證,系統(tǒng)時鐘頻率為100 MHz。其處理時間結(jié)果如表1所示,系統(tǒng)1為有加速功能的系統(tǒng),系統(tǒng)2為未經(jīng)加速的系統(tǒng)。

          k.JPG


          由表1可見,經(jīng)過加速改進(jìn)后的系統(tǒng)在處理時間上得到了很大的節(jié)約,隨圖像尺寸變大,總的節(jié)約時間顯然是增加的;且經(jīng)計算知:當(dāng)尺寸變4倍(表1第2列256圖與第3列512圖),節(jié)約時間大約增加3.9倍;640×480圖比512×512圖尺寸大1.17倍,節(jié)約時間是1.23倍,實際結(jié)果與理論計算相吻合。隨著圖像尺寸的增加,節(jié)約時間亦按比例增加,因此該加速功能在處理大容量高速的圖像時具有更大的優(yōu)勢和廣闊的應(yīng)用前景。

          l.JPG


          圖5為一幅在中經(jīng)過系統(tǒng)處理后使用Matlab呈現(xiàn)的邊緣圖像。該算法處理的圖像結(jié)果基本得到所有的邊緣信息,完全能滿足應(yīng)用需求。

          5 結(jié)論
          本文提出并實現(xiàn)了一種基于的加速算法邊緣檢測系統(tǒng)。該系統(tǒng)充分發(fā)揮和利用的優(yōu)良并行處理能力及流水線技術(shù),從而實現(xiàn)功能加速。
          在加速過程中通過狀態(tài)機(jī)的控制作用能使模板的運算處理和數(shù)據(jù)的讀/寫操作得以同時進(jìn)行,一定程度上節(jié)約了因大量的讀/寫數(shù)據(jù)操作而占用的時間。此系統(tǒng)充分利用了FPGA中的硬件資源,大大提高了系統(tǒng)算法的運算效率,且設(shè)計結(jié)構(gòu)較為靈活。最終通過邊緣檢測實驗,驗證了設(shè)計的正確性。

          fpga相關(guān)文章:fpga是什么



          上一頁 1 2 3 4 下一頁

          關(guān)鍵詞: Canny FPGA 視頻圖像 加速器

          評論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();