<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁 > EDA/PCB > 設(shè)計應(yīng)用 > FPGA驅(qū)動LED靜態(tài)顯示和動態(tài)顯示的VHDL程序

          FPGA驅(qū)動LED靜態(tài)顯示和動態(tài)顯示的VHDL程序

          作者: 時間:2012-05-29 來源:網(wǎng)絡(luò) 收藏

          例1:靜態(tài)顯示

          本文引用地址:http://www.ex-cimer.com/article/190343.htm

            --文件名:decoder.vhd

            --功能:譯碼輸出模塊,為共陽接法

            --最后修改日期:2004.3.24

            library IEEE;

            use IEEE.STD_LOGIC_1164.ALL;

            use IEEE.STD_LOGIC_ARITH.ALL;

            use IEEE.STD_LOGIC_UNSIGNED.ALL;

            entity decoder is

            Port (seg:in std_logic_vector(3 downto 0 ); --四位二進制碼輸入

            q3:out std_logic_vector(6 downto 0) ); --輸出七段碼

            end decoder;

            architecture Behavioral of decoder is

            begin

            process(seg)

            begin

            case seg is

            when 0000 => q3=0000001;--0

            when 0001 => q3=1001111;--1

            when 0010 => q3=0010010;--2

            when 0011 => q3=0000110;--3

            when 0100 => q3=1001100 --4

            when 0101 => q3=0100100;--5

            when 0110 => q3=0100000;--6

            when 0111 => q3=0001111;--7

            when 1000 => q3=0000000;--8

            when 1001 => q3=0000100;--9

            when others => q3=1111111;

            end case;

            end process;

            end Behavioral;

          例2:LED動態(tài)顯示(4位)

            --文件名:dynamic.vhd。

            --功能:動態(tài)掃描模塊,位選信號高電平有效。

            --最后修改日期:2004.3.24。

            library IEEE;

            use IEEE.STD_LOGIC_1164.ALL;

            use IEEE.STD_LOGIC_ARITH.ALL;

            use IEEE.STD_LOGIC_UNSIGNED.ALL;

            entity dynamic is

            Port ( clk : in std_logic;

            reset: in std_logic;


          上一頁 1 2 下一頁

          關(guān)鍵詞: FPGA VHDL LED 驅(qū)動

          評論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();