<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁 > EDA/PCB > 設(shè)計(jì)應(yīng)用 > 一種基于FPGA的數(shù)字秒表設(shè)計(jì)方法

          一種基于FPGA的數(shù)字秒表設(shè)計(jì)方法

          作者: 時(shí)間:2012-03-07 來源:網(wǎng)絡(luò) 收藏

          根據(jù)以上真值表可寫出譯碼電路VHDL源程序如下:
          i.jpg
          j.jpg

          3 功能驗(yàn)證以及下載實(shí)現(xiàn)
          完成以上各個(gè)子模塊的設(shè)計(jì)后,該的模塊設(shè)計(jì)就基本完成了,剩下的工作就是通過一個(gè)頂層文件將各個(gè)子模塊連接起來。在頂層文件中可以將以上各個(gè)子模塊看作一個(gè)個(gè)黑匣子,只將其輸入輸出端對應(yīng)相連就可以了。下面是該頂層文件的VHDL源程序:
          l.jpg
          m.jpg
          由于各個(gè)子模塊都已經(jīng)經(jīng)過驗(yàn)證無誤,并且頂層文件中不涉及復(fù)雜的時(shí)序關(guān)系,相當(dāng)于只是將各個(gè)模塊用導(dǎo)線連接起來,只要各個(gè)端口的連接對應(yīng)正確即可,所以不需寫專門的test bench進(jìn)行驗(yàn)證。完成以上設(shè)計(jì)后,即可進(jìn)行邏輯綜合,綜合無誤后進(jìn)行管腳適配,生成.bit文件然后下載到實(shí)驗(yàn)板上測試。經(jīng)過反復(fù)多次測試,以上設(shè)計(jì)完全滿足了預(yù)期的設(shè)計(jì)指標(biāo),開始/停止按鍵和清零按鍵都能準(zhǔn)確的控制秒表的運(yùn)行,七段顯示數(shù)碼管也能夠準(zhǔn)確的顯示計(jì)時(shí)結(jié)果。通過與標(biāo)準(zhǔn)秒表對比,該設(shè)計(jì)的計(jì)時(shí)誤差在0.03s以內(nèi),而這其中也包括實(shí)驗(yàn)板上品振由于長期使用所帶來的誤差。

          4 結(jié)束語
          本文所介紹,采用了當(dāng)下最流行的EDA設(shè)計(jì)手段。在Xinlinx 開發(fā)環(huán)境下,采用至上而下的模塊化,使得系統(tǒng)開發(fā)速度快、成本低、系統(tǒng)性能大幅度提升。通過實(shí)驗(yàn)驗(yàn)證,本文設(shè)計(jì)的計(jì)時(shí)準(zhǔn)確、性能穩(wěn)定,可以很容易嵌入其他復(fù)雜的數(shù)字系統(tǒng),充當(dāng)計(jì)時(shí)模塊。
          利用EDA設(shè)計(jì)工具,結(jié)合基于的可編程實(shí)驗(yàn)板,輕松實(shí)現(xiàn)電子芯片的設(shè)計(jì),現(xiàn)場觀察實(shí)驗(yàn)結(jié)果,大大縮短了產(chǎn)品的設(shè)計(jì)周期和調(diào)試周期,提高了設(shè)計(jì)的可靠性和成功率,體現(xiàn)了邏輯器件在數(shù)字設(shè)計(jì)中優(yōu)越性。

          本文引用地址:http://www.ex-cimer.com/article/190686.htm
          分頻器相關(guān)文章:分頻器原理

          上一頁 1 2 3 下一頁

          評論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();