<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁 > EDA/PCB > 設(shè)計應(yīng)用 > FSK/PSK調(diào)制的FPGA實現(xiàn)

          FSK/PSK調(diào)制的FPGA實現(xiàn)

          作者: 時間:2011-05-24 來源:網(wǎng)絡(luò) 收藏

          2.1 分頻器
          分頻器對頻率為f0的時鐘輸入信號clk分別以分頻系數(shù)D1,D2和D3產(chǎn)生器和m序列的基準時鐘信號f1,f2和f3。其關(guān)系如式(1)所示。
          f.jpg
          f1和f2決定了信號的兩個載波頻率,f2決定了信號的載波頻率,f3決定了基帶碼元速率。為了保證每個基帶碼元的寬度T是載波周期的整數(shù)倍,在選擇分頻系數(shù)時應(yīng)滿足式(2)。
          g.jpg
          式中,M為D1和D2的最小公倍數(shù)。
          2.2 m序列產(chǎn)生器
          m序列是由多級移位寄存器或其他延遲元件通過線性反饋產(chǎn)生的最長的碼序列。m序列的最大長度取決于移位寄存器的級數(shù),而碼的結(jié)構(gòu)取決于反饋抽頭的位置和數(shù)量。其特點是:隨機特性、預(yù)先可確定性、循環(huán)特性。
          本設(shè)計利用帶有兩個反饋抽頭的3級反饋移位寄存器得到一串7位循環(huán)序列“1110010”,并采取措施防止進入全“0”狀態(tài)。通過更換時鐘頻率,可以方便地改變輸入碼元的速率。這一系列的循環(huán)序列碼作為基帶信號碼元。其電路結(jié)構(gòu)如圖3所示。

          本文引用地址:http://www.ex-cimer.com/article/191198.htm

          h.jpg


          2.3 調(diào)制器
          FSK/PSK調(diào)制器由時鐘選擇模塊、跳變檢測模塊和DDS信號發(fā)生模塊3部分組成。原理如圖4所示。

          i.jpg

          絕對值編碼器相關(guān)文章:絕對值編碼器原理


          關(guān)鍵詞: FPGA FSK PSK 調(diào)制

          評論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();