<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁 > EDA/PCB > 設(shè)計(jì)應(yīng)用 > 基于Max+Plus II和VHDL的電子密碼鎖設(shè)計(jì)

          基于Max+Plus II和VHDL的電子密碼鎖設(shè)計(jì)

          作者: 時(shí)間:2009-11-06 來源:網(wǎng)絡(luò) 收藏

          1.1 鍵盤控制
          鍵盤主要完成向系統(tǒng)輸入數(shù)據(jù),傳送命令等功能。它是一個(gè)機(jī)械彈性按鍵開關(guān)的集合,利用機(jī)械觸點(diǎn)的合、斷作用產(chǎn)生高、低電平。通過對電平高低狀態(tài)的檢測,以確認(rèn)按鍵按下與否。一個(gè)電壓信號通過機(jī)械觸點(diǎn)的斷開、閉合過程的波形如圖2所示。

          在該鍵盤電路中,Count模塊提供鍵盤的行掃描信號Q[3..0]。在沒有按鍵按下時(shí),信號EN為高電平,行掃描輸出信號Q[3..0]的循環(huán)變化順序?yàn)?001 OO100100 1000 0001(依次掃描4行按鍵);當(dāng)有按鍵按下時(shí),信號EN為低電平,行掃描輸出信號Q[3..0]停止掃描,并鎖存當(dāng)前的行掃描值。例如按下第一行的按鍵,那么Q[3..O]=0001。
          Keyvalue模塊的主要功能是對輸入按鍵的行信號Q[3..0]和列信號14[3..0]的當(dāng)前組合值進(jìn)行判斷來確定輸入按鍵的鍵值。
          Contrl模塊的主要功能是實(shí)現(xiàn)按鍵的消抖,判斷是否有按鍵按下。確保對按鍵的提取處于圖2所示的閉合穩(wěn)定時(shí)間范圍內(nèi),這就對本模塊的輸入時(shí)鐘信號有一定的要求,在本設(shè)計(jì)中該模塊輸入的時(shí)鐘信號頻率為64 Hz。Smdisplay模塊主要是完成數(shù)碼管動(dòng)態(tài)掃描和七段譯碼顯示的功能。
          1.2 音樂演奏電路Songer
          根據(jù)聲樂學(xué)知識,組成樂曲的每個(gè)音符的發(fā)音頻率值及其持續(xù)的時(shí)間是樂曲能連續(xù)演奏所需的兩個(gè)基本要素。獲得這兩個(gè)要素所對應(yīng)的數(shù)值以及通過純硬件的手段來利用這些數(shù)值實(shí)現(xiàn)所希望樂曲的演奏效果是關(guān)鍵。如圖3所示,該電路需要由NOTETABS(音調(diào)發(fā)生器)、TONETABA、SPEAKER(數(shù)控分頻器)三個(gè)模塊組成,分別實(shí)現(xiàn)了聲音產(chǎn)生、節(jié)拍控制、音調(diào)控制的功能。

          1.3 密碼設(shè)置
          Set模塊是實(shí)現(xiàn)密碼鎖功能的核心模塊。其主要作用是設(shè)置密碼,Set為設(shè)置密碼的有效信號,可以實(shí)現(xiàn)修改密碼的功能。En為輸入密碼確認(rèn)信號,當(dāng)輸入完六位密碼后確認(rèn)輸入,一旦輸入的密碼跟所設(shè)置的密碼一致時(shí),則輸出信號OP有效(高電平);OP控制演奏音樂,此時(shí)音樂響起。若密碼不正確,則指示輸入錯(cuò)誤及輸入次數(shù),輸完三次無效后密碼鎖鎖死,必須由RESET信號(啟動(dòng)信號,給一個(gè)低電平)重新打開密碼鎖功能。


          2 電路的描述
          鍵盤控制電路,音樂演奏電路以及密碼設(shè)置模塊均使用硬件描述語言VHSIC Hardware Description Lan-guage()設(shè)計(jì)而成。例如:TONETABA的模型如下:


          VHDL語言具有很強(qiáng)的電路描述和建模能力,能從多個(gè)層次對數(shù)字系統(tǒng)進(jìn)行建模和描述,支持各種模式的設(shè)計(jì)方法:自頂向下與自底向上或混合方法,從而大大簡化了硬件的設(shè)計(jì)任務(wù),提高了設(shè)計(jì)效率和可靠性。它同時(shí)具有與具體硬件電路無關(guān)和與設(shè)計(jì)平臺(tái)無關(guān)的特性,所以用VHDL進(jìn)行電子系統(tǒng)設(shè)計(jì),設(shè)計(jì)者可以專心致力于其功能的實(shí)現(xiàn),而不需要對其他相關(guān)因素花費(fèi)過多的時(shí)間和精力。



          關(guān)鍵詞: Plus VHDL Max 電子密碼鎖

          評論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();