<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁(yè) > EDA/PCB > 設(shè)計(jì)應(yīng)用 > 基于CPLD的DDS正交信號(hào)源的設(shè)計(jì)

          基于CPLD的DDS正交信號(hào)源的設(shè)計(jì)

          作者: 時(shí)間:2009-09-03 來(lái)源:網(wǎng)絡(luò) 收藏

          1 引言
          由于傳統(tǒng)的多波形函數(shù)信號(hào)發(fā)生器需采用大量分離元件才能實(shí)現(xiàn),且設(shè)計(jì)復(fù)雜,這里提出一種基于的多波形函數(shù)信號(hào)發(fā)生器。它采用作為函數(shù)信號(hào)發(fā)生器的處理器,以單片機(jī)和為核心,輔以必要的模擬和數(shù)字電路,構(gòu)成的基于(直接數(shù)字頻率合成)技術(shù)、波形穩(wěn)定、精度較高的多功能函數(shù)信號(hào)發(fā)生器。

          本文引用地址:http://www.ex-cimer.com/article/191933.htm

          2 系統(tǒng)設(shè)計(jì)
          圖1給出系統(tǒng)設(shè)計(jì)框圖,該系統(tǒng)設(shè)計(jì)主要由CPLD電路、單片機(jī)電路、鍵盤(pán)輸入液晶顯示輸出電路以及D/A轉(zhuǎn)換電路和低通濾波器等電路組成。

          2.1 頻率合成器
          該系統(tǒng)設(shè)計(jì)采用直接數(shù)字式頻率合成(Direct Digital Frequency Synthesis)技術(shù),采用ROM存儲(chǔ)所需的量化數(shù)據(jù),按照不同頻率求出頻率控制字。以K為步進(jìn)對(duì)相位累加器進(jìn)行累加,每累加一次,取出累加器的高8 位數(shù)據(jù)送至ROM,ROM根據(jù)不同的地址取出不同的數(shù)據(jù)送TLC7524進(jìn)行轉(zhuǎn)換。再經(jīng)過(guò)濾波即可得到所需要波形。由于具有相對(duì)帶寬很寬,頻率轉(zhuǎn)換時(shí)間極短,頻率分辨率高等優(yōu)點(diǎn)。此外,全數(shù)字化結(jié)構(gòu)便于集成,輸出相位連續(xù),頻率,相位和幅度均可實(shí)現(xiàn)程控。


          上一頁(yè) 1 2 3 下一頁(yè)

          關(guān)鍵詞: CPLD DDS 信號(hào)源

          評(píng)論


          相關(guān)推薦

          技術(shù)專(zhuān)區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();