<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁(yè) > EDA/PCB > 設(shè)計(jì)應(yīng)用 > 基于CPLD的CIS圖像傳感器驅(qū)動(dòng)電路設(shè)計(jì)

          基于CPLD的CIS圖像傳感器驅(qū)動(dòng)電路設(shè)計(jì)

          作者: 時(shí)間:2009-08-07 來(lái)源:網(wǎng)絡(luò) 收藏


          (3)A/D轉(zhuǎn)換器的電路設(shè)計(jì)
          由于灰度的灰階通常為 256級(jí),因此選用 8位的高速并行模數(shù)轉(zhuǎn)換器 TLC5540,它的最高工作頻率高達(dá) 40MHz,依靠 5V單電源工作,而且內(nèi)部帶有基準(zhǔn)電路構(gòu)成分壓電路獲得參考電壓[1]。+5V

          本文引用地址:http://www.ex-cimer.com/article/191968.htm

          TLC5540在其時(shí)鐘信號(hào)的下降沿對(duì)輸入模擬信號(hào)進(jìn)行保持和采樣,在 2.5個(gè)時(shí)鐘周期后將轉(zhuǎn)換的數(shù)據(jù)輸出到內(nèi)部總線上。當(dāng) TLC5540讀選通信號(hào) OE有效時(shí),數(shù)據(jù)輸出到外圍管
          腳上。 TLC5540的接口電路如圖 3所示。
          TLC5540的參考電壓由精密基準(zhǔn)電壓源 TL431、電阻 R6和 R7構(gòu)成的電路提供。 TLC5540的 CLK管腳和 OE管腳由 直接控制,分別為 AD_EN和 AD_CLK。當(dāng) 產(chǎn)生的 AD_EN為下降沿時(shí),使能 TLC5540的 OE腳將數(shù)據(jù) AD[0..7]讀取到 CPLD的內(nèi)部寄存器中,實(shí)現(xiàn)信號(hào)由模擬到數(shù)字的轉(zhuǎn)換過程。 3 軟件設(shè)計(jì)
          3.1 CPLD的邏輯功能模塊設(shè)計(jì)
          CPLD是 控制模塊的核心部件。根據(jù) CPLD需要完成的任務(wù),將 CPLD的邏輯功能劃分為不同的模塊,包括時(shí)序控制模塊、 A/D數(shù)據(jù)讀取模塊、數(shù)據(jù)暫存地址發(fā)生器、乒乓存儲(chǔ)的總線切換和 MPU接口模塊等。CPLD邏輯功能的模塊如圖 4所示。

          3.2時(shí)序控制模塊
          時(shí)序控制模塊是 CPLD在外部時(shí)鐘信號(hào) CLK控制下,根據(jù) MPU提供的 P_EN與 L_EN信號(hào),產(chǎn)生 的控制時(shí)序、A/D轉(zhuǎn)換器的控制時(shí)序、數(shù)據(jù)暫存的讀寫信號(hào)。
          (1)傳感器和 AD轉(zhuǎn)換器的控制時(shí)序產(chǎn)生
          根據(jù) CIS傳感器的控制時(shí)序特性, CPLD為 CIS傳感器提供時(shí)鐘信號(hào) CIS_CLK、選通信號(hào) CIS_SI和光源控制信號(hào) CIS_LED等。當(dāng)微處理器將 P_EN置為高電平時(shí),CPLD處于初始化狀態(tài)。當(dāng) P_EN為低電平后,CPLD處于準(zhǔn)備階段。此時(shí),一旦微處理器給 L_EN管腳上產(chǎn)生一個(gè)脈沖信號(hào),CPLD就隨后自動(dòng)產(chǎn)生 CIS_SI信號(hào)啟動(dòng) CIS,同時(shí) CPLD的內(nèi)部計(jì)數(shù)器對(duì)外部 CLK進(jìn)行計(jì)數(shù)。在根據(jù)計(jì)數(shù)值 CPLD產(chǎn)生 AD轉(zhuǎn)換器的控制信號(hào)。這一時(shí)序電路的硬件描述如下,其中 CNT是一個(gè) 0到 647的計(jì)數(shù)器。


          if P_EN ='1' then
          CNT=0; AD_EN='1'; CIS_SI='0';
          elsif (CLK 'event and CLK ='1') then
          if L_EN ='1' then CNT=1;
          elsif CNT=647 or CNT=0 then CNT=0;
          else CNT=CNT+1; end if;
          if CNT=2 then CIS_SI ='1';
          else CIS_SI ='0';end if;

          if CNT>=64 and CNT=640 then AD_EN='0';
          else AD_EN='1'; end if; end if;

          3.3 A/D數(shù)據(jù)讀取模塊
          A/D數(shù)據(jù)讀取模塊將模數(shù)轉(zhuǎn)換器的輸出數(shù)據(jù)暫存在 CPLD內(nèi)部寄存器中。在 A/D轉(zhuǎn)換器的讀使能信號(hào)有效,即 AD_EN為 0時(shí), CPLD為 ADC產(chǎn)生時(shí)鐘 AD_CLK。CPLD在 AD_CLK的下降沿將 ADC的轉(zhuǎn)換結(jié)果暫存在寄存器 D1中,其硬件的描述如下。
          if AD_CLK'EVENT and AD_CLK ='0' then D1(7 downto 0)=AD(7 downto 0); end if;
          3.4數(shù)據(jù)暫存的地址發(fā)生器
          CIS傳感器是按照一個(gè)個(gè)像素逐次串行輸出。因此必須將數(shù)據(jù)按照地址逐次增一的格式存儲(chǔ)在存儲(chǔ)器 IS61C1024中。其寫信號(hào) A1_WR是由 A/D轉(zhuǎn)換器的讀使能信號(hào) AD_EN和外部時(shí)鐘 CLK進(jìn)行邏輯與得到的,其硬件描述如下。
          A1_WR=AD_EN and CLK;
          存儲(chǔ)地址的產(chǎn)生是由一個(gè) 17位計(jì)數(shù)器 A1完成,當(dāng) P_EN=1時(shí)表示采集沒有開始,地址計(jì)數(shù)器 A1初始化為0。當(dāng) P_EN=0時(shí),在寫信號(hào) A1_WR的上升沿地址增 1,為下一個(gè)數(shù)據(jù)的存儲(chǔ)提供地址。這一過程的硬件描述如下。



          評(píng)論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();