<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁 > EDA/PCB > 編輯觀點 > 英特爾拿到首臺2nm光刻機 重回領先地位?

          英特爾拿到首臺2nm光刻機 重回領先地位?

          作者:陳玲麗時間:2023-12-28來源:電子產品世界收藏

          12月21日,荷蘭巨頭通過社交媒體宣布,其首套高數值孔徑極紫外(High-NA 正從荷蘭Veldhoven總部開始裝車發貨,將向進行交付。

          本文引用地址:http://www.ex-cimer.com/article/202312/454336.htm

          據了解,高數值孔徑極紫外成本高達3-4億美元,組裝起來比卡車還大,被分裝在250個單獨的板條箱中進行運輸,共計需要13個大型集裝箱??紤]到交貨時間和后續安裝,真正投入使用還需要數月時間。

          640.jpg

          數值孔徑(NA)是光刻機光學系統的重要指標,直接決定了光刻的實際分辨率和最高能達到的工藝節點。一般來說,金屬間距縮小到30nm以下之后,也就是對應的工藝節點超越5nm,低數值孔徑光刻機的分辨率就不夠了,只能使用雙重曝光和/或曝光成形(pattern shaping)技術來輔助,不但會大大增加成本,還會降低良品率。因此,更高數值孔徑成為必需。

          的高數值孔徑極紫外光刻機,將NA值從0.33增加到0.55(所謂的“High-NA”),更強的聚光能力,意味著能夠處理更加精細的幾何尺寸,同時這也是繼續推進半導體制程進化的路線之一。

          640.png

          在當前芯片代工領域,制程工藝依然是各大代工廠最大的核心競爭力。隨著蘋果今年已經用上3nm制程的芯片,對于處于落后位置的三星和而言,自然就成為爭奪市場領先地位的下一個目標。為了實現下一階段的工藝制程跨越,阿斯麥TWINSCAN EXE:5000/5200光刻機的上市就成為某種意義上的“破局時刻”。根據規劃,將在2024年上半年量產Intel 20A制程,下半年將量產更先進的Intel 18A制程;而、三星都計劃將在2025年量產制程。

          英特爾瞄準逆轉時刻

          自英特爾提出IDM2.0戰略以來,其路線圖目標很明確,著力向“四年五個制程節點”邁進,希望在2025年重新奪回半導體生產領導者地位。其中Intel 20A和Intel 18A分別對應2nm和1.8nm制程,英特爾對此寄予厚望,宣布Intel 20A計劃于2024年上半年投入使用,進展良好的Intel 18A也將提前至2024年下半年進入大批量制造,在進度上誓要先發制人。

          英特爾CEO帕特·基辛格在Intel Innovation Day論壇上透露,Intel 18A制程目前有許多測試晶圓正在生產中,這一技術已經研發完成,正加速進入生產階段。其實英特爾最初就計劃利用新光刻機投產Intel 18A工藝,早在2022年1月,英特爾便搶先向阿斯麥下定TWINSCAN EXE:5200,直接將下一代光刻機上市作為“超車”的沖鋒號,但因為時間上等不及,只能改用已有的0.33 NA NXE:3600D/3800E疊加雙重曝光。

          集邦咨詢的報告顯示,阿斯麥將在2024年生產10臺新一代High-NA 光刻機,其中英特爾就定了多達6臺。因High-NA EUV光刻機與標準EUV光刻機差異不小,提升使用經驗需要大量時間修正基礎設施,所以領先對手幾季部署對英特爾而言是很大的優勢。另外,在技術水平上,英特爾也有自己獨特優勢,Intel 20A/18A將會首發兩大突破性技術:RibbonFET和PowerVia。

          RibbonFET是英特爾對Gate All Around晶體管的實現,類似多片納米片堆疊在一起,這樣不僅能夠縮小尺寸,而且柵極能夠更好地控制電流的流通,同時在任意電壓下提供更強的驅動電流,讓晶體管開關的速度更快,從而提升晶體管的性能;而PowerVia則是英特爾獨有的、業界首個背面電能傳輸網絡,通過消除晶圓正面供電布線需求來優化信號傳輸。英特爾通過兩大技術的“聯合”將可能是新的FinFET時刻 —— 參考英特爾2012年在22nm引入FinFET的榮光。

          英特爾稱Intel 18A制程不會僅供內部使用,未來還會對外部客戶代工。此前基辛格于10月末透露,Intel 18A制程已于Q3敲定了三家晶圓代工客戶,預計年底有望簽下第四家。

          640 (1).jpg

          英特爾還向芯片設計公司提供免費測試生產的優惠,以吸引更多的訂單。英特爾曾經是芯片制造業的領導者,但近年來在技術上落后于和三星,導致其在PC和服務器市場上失去了部分市場份額。英特爾希望通過Intel 18A芯片重振旗鼓,向其他芯片設計公司提供代工服務,以擴大其業務范圍。

          業界推測,接下來英特爾或將打破當下臺積電獨家代工英偉達高性能AI芯片的狀況。英偉達首席財務官柯蕾絲(Colette Kress)近日在參加瑞銀全球科技大會時暗示,英偉達不排除增加英特爾代工(IFS)作為其晶圓代工供應商,生產新一代芯片。

          重回領先地位需要翻過兩座大山

          無論是老牌勁旅臺積電、三星,還是誓言要重回先進制程領先地位的英特爾,都將目光鎖定在了實現2nm首發。2nm不僅僅是下一代新制程,更是代工格局迎來重塑的拐點。只不過,誰能折桂這一榮耀?

          臺積電

          多年來,臺積電一直是晶圓代工產業的半壁江山,手握英偉達、蘋果、高通等下游廠商多數訂單,甩了其他競爭對手幾個身位。作為行業老大,臺積電稱將如期在2025年上線2nm工藝,2025年下半年進入量產。據英國金融時報引述知情人士透露,臺積電已向蘋果和英偉達等重要客戶展示N2(即2nm)原型的制程工藝測試結果。在全球芯片大廠爭相發展2nm制程之際,臺積電再度勝出搶下蘋果訂單,預計2025年上市的iPhone 17 Pro將率先采用臺積電2nm芯片。

          2nm可謂是臺積電的一個重大節點,將采用納米片晶體管(Nanosheet),取代FinFET,意味著臺積電工藝正式進入GAA時代。針對2nm制程所用設備,臺積電還將延續使用EUV光刻機。值得一提的是,臺積電于今年9月宣布收購將以不超4.328億美元的價格收購英特爾旗下子公司IMS,后者專注于研發和生產電子束光刻機,以確保關鍵設備的技術開發,并滿足2nm商用化的供應需求。

          三星

          三星目前在先進芯片的代工市場份額僅次于臺積電,是第一家開始大規模生產3nm芯片的公司,計劃在2024年上半年進入第二代3nm制程技術,在2025年年底前推出2nm制程,在2027年年底之前推出1.4nm制程。

          同時,三星也是首家跨入并轉型環繞式柵極(GAA)晶體管架構的廠商,在3nm制程中率先采用GAA架構,在GAA用于先進制程方面擁有了量產和磨合的先發優勢,從3nm進入2nm可能會相對流暢。此外,三星還開發了MBCFET晶體管專利技術,為其2nm工藝競爭力再添籌碼。

          有消息稱三星已拿到了高通的訂單,高通下一代高端手機芯片采用三星SF2(2nm)制程生產;同時,三星針對將推出的2nm原型開出折扣價,以吸引英偉達等客戶。針對三星在2nm制程采降價搶單的傳聞,臺積電董事長劉德音向業界表示“客戶還是看技術的質量”,透露出對臺積電先進制程技術與良率優勢的信心。

          據悉,三星將在五年內從ASML采購50套設備,每套單價約為2000億韓元,總價值可達10萬億韓元。此外,ASML于今年12月中旬與三星簽署備忘錄,將共同投資1萬億韓元在韓國建立研究中心,并將利用下一代EUV光刻機研究先進半導體制程技術。

          640 (1).png

          不可忽視的變數:先進封裝

          2nm是工藝的決戰,也是先進封裝的交鋒。先進封裝與制程工藝可謂相輔相成,在提高芯片集成度、加強互聯、性能優化的過程中扮演了重要角色,是性能持續提升的重要保障。對于在工藝節點時間上的爭奪,先進封裝已成為三大巨頭“不可忽視”變數。

          · 臺積電在先進封裝上已獲得了可觀的收入體量,CoWoS的產能大增將十分有利于爭取2nm訂單,“兩手抓”也讓臺積電的護城河愈加深厚。在AI產能需求持續升級之下,臺積電正積極擴充第六代2.5D先進封裝技術CoWoS產能,將投資約28億美元打造先進封裝廠,預計2026年底建廠完成、2027年第三季開始量產,月產能達11萬片12英寸晶圓,涵蓋SoIC、InFO以及CoWoS等先進封裝技術。

          · 英特爾通過多年技術探索,相繼推出了EMIB、Foveros和Co-EMIB等多種先進封裝技術,在互連密度、功率效率和可擴展性三個方面持續精進。在今年5月,英特爾發布了先進封裝技術藍圖,計劃將傳統基板轉為更為先進的玻璃材質基板,以實現新的超越;而且,英特爾也在布局硅光模塊中的CPO(共封裝光學)技術,以優化算力成本。

          · 三星推出的I-Cube封裝技術可與臺積電CoWoS相抗衡。另外,三星計劃在2024年量產可處理比普通凸塊更多數據的X-Cube封裝技術,并預計2026年推出比X-Cube處理更多數據的無凸塊型封裝技術。擁有從存儲器、處理器芯片的設計、制造到先進封裝業務組合的優勢。

          在先進封裝領域,目前更加強調的是異構芯片的整合能力,這對于提高芯片性能和效能至關重要。因而,未來2nm性能的比拼也將圍繞先進封裝這一能力展開。

          盡管2nm技術進階的路徑基本相同,巨頭們各有伯仲,但考驗絕不止首發那么簡單,良率、客戶粘性和服務均將影響2nm量產的進程。其中,良率可謂至關重要,畢竟2nm制程晶圓代工報價約為24570美元,成本如此之高的情況下低良率是沒有出路的。

          而影響良率的因素繁多,涉及工藝優化、設計水平、經驗等等。在良率能夠不斷優化提升的前提條件下,如果某家廠商的良率能夠達到高于競爭對手一個數量級,那么有可能客戶在A家下的單就會轉至B家,變數還是很大的。

          2nm芯片的競爭不僅是技術上的挑戰,也是商業上的博弈。各大芯片制造商都在尋求與客戶建立長期的合作關系,以確保其未來的收入和利潤。同時,客戶也在尋求多元化的供應商,以降低對單一芯片制造商的依賴,以應對全球芯片短缺的危機。

          2nm的代工格局走向究竟如何,要看各廠商的“言之鑿鑿”到底有多少落到實處了。如果一切進度都按照披露的時間線走,那么英特爾還是具有較大的優勢,很有可能可以重回領先地位。當然了,這些都是“紙上數據”,均是自說自話,實際還要等到各家的工藝量產后才知道。針對2nm制程的爭奪答案將于2025年揭曉,誰能笑到最后,還有待時間的檢驗。

          根據目前的評估,臺積電與三星將繼續是2nm制程的主要代工廠商,因為他們在此前先進制程的良率和量產規模方面表現出色。英特爾在技術研發方面雖具有一定的優勢,但其晶圓代工主要專注于自家產品,對外部客戶的合作較為有限,這對突破先進制程的良率和量產穩定性帶來了挑戰。對于2nm以下先進制程的競爭中,雖然研發最終成果還未具體披露,但從搶單、購買先進設備等動作也可見,各大廠已經在為埃米時代未雨綢繆。



          評論


          相關推薦

          技術專區

          關閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();