<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁  資訊  商機   下載  拆解   高校  招聘   雜志  會展  EETV  百科   問答  電路圖  工程師手冊   Datasheet  100例   活動中心  E周刊閱讀   樣片申請
          EEPW首頁 >> 主題列表 >> flash fpga

          線性調(diào)頻信號基于FPGA IP核的脈沖壓縮設(shè)計

          • 摘要:為實現(xiàn)線性調(diào)頻信號的數(shù)字脈沖壓縮,設(shè)計一個FPGA硬件平臺,并著重提出一種基于FPGA IP核的脈沖壓縮設(shè)計方法。針對脈沖壓縮進(jìn)行了理論分析和Matlab仿真,設(shè)計完成后對系統(tǒng)軟、硬件進(jìn)行了全面測試,并根據(jù)實測數(shù)
          • 關(guān)鍵字: FPGA  線性調(diào)頻信號  IP核  脈沖壓縮    

          基于FPGA的LCoS驅(qū)動和圖像處理系統(tǒng)設(shè)計

          • 摘要:針對分辨率為1 024times;768的LCoS屏編寫了Verilog HDL驅(qū)動代碼,在quartusⅡ9.1平臺上綜合編譯,并在Altera的FPGA芯片EP3C5E14 4C8上進(jìn)行了功能驗證和實際輸出信號測量。采用異步FIFO結(jié)構(gòu)解決了跨異步時鐘域
          • 關(guān)鍵字: FPGA  LCoS  驅(qū)動  圖像處理    

          基于ARM和FPGA的聲納波形產(chǎn)生系統(tǒng)設(shè)計

          • 1、引言  最佳聲納系統(tǒng)的設(shè)計需要從聲納波形、聲納信道和聲納接收機三方面進(jìn)行綜合考慮[1]。在聲納信道 ...
          • 關(guān)鍵字: ARM  FPGA  聲納波形產(chǎn)生    

          Flash損耗均衡的嵌入式文件系統(tǒng)設(shè)計

          • Flash損耗均衡的嵌入式文件系統(tǒng)設(shè)計,引言
            嵌入式系統(tǒng)的海量存儲器多采用Flash存儲器實現(xiàn)擴展,由于Flash存儲器具有有限寫入次數(shù)的壽命限制,因此對于Flash存儲器局部的頻繁操作會縮短Flash存儲器的使用壽命。如何設(shè)計出一個合理的、針對嵌入式應(yīng)用
          • 關(guān)鍵字: 系統(tǒng)  設(shè)計  文件  嵌入式  損耗  均衡  Flash  

          基于CPLD/FPGA的USB讀寫控制器

          • 引言
            隨著計算機科技的發(fā)展,無紙辦公日益成為各單位日常辦公的主要形式。而隨著USB存儲設(shè)備日益廣泛的使用,數(shù)據(jù)泄漏的危害也越來越嚴(yán)重。因此在單位內(nèi)部對USB存儲設(shè)備的操作權(quán)限進(jìn)行控制是很有必要的。
          • 關(guān)鍵字: CPLD  FPGA  USB  讀寫    

          基于FPGA的交流電測量儀的設(shè)計

          • 在電力調(diào)度自動化系統(tǒng)中,測量電壓和頻率是最重要的功能。如何快速、準(zhǔn)確地采集顯得尤為重要。目前根據(jù)采集信...
          • 關(guān)鍵字: FPGA  交流電測量儀  

          Leon3軟核的FPGA SelectMap接口配置設(shè)計

          • 摘要:與通常采用外圍的CPLD器件和CPU來產(chǎn)生配置接口控制邏輯的方法不同,本文設(shè)計了采用嵌入到FPGA的Leon3開源CPU軟核來控制實現(xiàn)Virtex系列FPGA的SelectMap接口配置的方法,可將其應(yīng)用于對FPGA芯片的在線配置。該方
          • 關(guān)鍵字: SelectMap  Leon3  FPGA  軟核    

          一種基于MCU+FPGA的LED大屏幕控制系統(tǒng)的設(shè)計

          •   存儲器是用來存儲程序和數(shù)據(jù)的部件,有了存儲器,計算機才有記憶功能,才能保證正常工作。它根據(jù)控制器指定的位置存進(jìn)和取出信息。 引言  只要在現(xiàn)在的市場上走一圈就會發(fā)現(xiàn),大部分的中小規(guī)模 LED  LED(L
          • 關(guān)鍵字: FPGA  MCU  LED  大屏幕    

          FPGA平臺實現(xiàn)最小開關(guān)損耗的SVPWM算法

          • 摘要:詳細(xì)分析了SVPWM的原理,介紹一種根據(jù)負(fù)載的功率因子來決定電壓空間零矢量的分配與作用時間的SVPWM算法,使得橋臂開關(guān)在通過其電流最大時的一段連續(xù)時間內(nèi)沒有開關(guān)動作。這樣在提高開關(guān)頻率的同時減小了開關(guān)電
          • 關(guān)鍵字: SVPWM  FPGA  開關(guān)損耗  算法    

          基于FPGA無線傳感器網(wǎng)絡(luò)MAC控制器的設(shè)計

          • 摘要 給出了一種由FPGA實現(xiàn)的無線傳感器網(wǎng)絡(luò)MAC控制器的設(shè)計方法,采用自頂向下的方法設(shè)計各個模塊,并在QuartusII8.0完成了仿真,該控制器主要支持IEEE802.15.4協(xié)議。測試結(jié)果表明,該MAC控制器支持20~250 kbmi
          • 關(guān)鍵字: FPGA  MAC  無線傳感器網(wǎng)絡(luò)  控制器    

          萊迪思獲得Flexibilis以太網(wǎng)交換IP核

          •   萊迪思半導(dǎo)體公司和FLEXIBILIS Oy日前宣布了即可獲取Flexibilis以太網(wǎng)交換(FES)IP核。三速(10Mbps/100Mbps/1Gbps)FES IP核工作在以太網(wǎng)第2層,每個端口具有Gigabit的轉(zhuǎn)換能力。支持Gigabit光纖和Gigabit雙絞線銅以太網(wǎng)接口。支持的服務(wù)質(zhì)量高達(dá)每端口四個隊列。這些以太網(wǎng)交換IP核有五個版本,根據(jù)端口數(shù)和功能而不同: 
          • 關(guān)鍵字: 萊迪思  FPGA  

          基于FPGA的SoC原型驗證的設(shè)計與實現(xiàn)

          • 摘要:在SoC開發(fā)過程中,基于FPGA的原型驗證是一種有效的驗證方法,它不僅能加快SoC的開發(fā),降低SoC應(yīng)用系統(tǒng)的開發(fā)成本,而且提高了流片的成功率。文章主要描述了基于FPGA的SoC原型驗證的設(shè)計與實現(xiàn),針對FPGA基驗證
          • 關(guān)鍵字: FPGA  SoC  原型驗證    

          基于FPGA的CAN總線控制器設(shè)計

          • 摘要:使用Verilog HDL硬件描述語言完成了對CAN總線控制器的設(shè)計,能夠?qū)崿F(xiàn)符合CAN2.0A協(xié)議的所有功能。本總線控制器的外部接口采用Altera公司開發(fā)的Avalon總線接口,增強了控制器的應(yīng)用靈活性。本設(shè)計使用Modelsim
          • 關(guān)鍵字: FPGA  CAN  線控  制器設(shè)計    

          MSK信號檢測識別的FPGA實現(xiàn)

          • MSK信號檢測識別的FPGA實現(xiàn),采用MSK 調(diào)制的跳頻通信具有主瓣能量集中、旁瓣衰落滾降快、頻譜利用率高和抗干擾能力強等優(yōu)點,在軍事通信中應(yīng)用廣泛。如美軍現(xiàn)役的聯(lián)合戰(zhàn)術(shù)信息分發(fā)系統(tǒng)采用的通信信號,工作帶寬969~1 206 MHz,跳頻速率為70000 多
          • 關(guān)鍵字: FPGA  實現(xiàn)  識別  檢測  信號  MSK  

          VGA圖形控制器的FPGA實現(xiàn)

          • VGA圖形控制器的FPGA實現(xiàn),VGA(視頻圖形陣列)作為一種標(biāo)準(zhǔn)的顯示接口得到廣泛的應(yīng)用。利用FPGA 芯片和EDA 設(shè)計方法,可以因地制宜,根據(jù)用戶的特定需要,設(shè)計出針對性強的VGA 顯示控制器,不僅能夠大大降低成本,還可以滿足生產(chǎn)實踐中不斷變化
          • 關(guān)鍵字: 實現(xiàn)  FPGA  控制器  圖形  VGA  
          共6827條 287/456 |‹ « 285 286 287 288 289 290 291 292 293 294 » ›|

          flash fpga介紹

          您好,目前還沒有人創(chuàng)建詞條flash fpga!
          歡迎您創(chuàng)建該詞條,闡述對flash fpga的理解,并與今后在此搜索flash fpga的朋友們分享。    創(chuàng)建詞條

          熱門主題

          樹莓派    linux   
          關(guān)于我們 - 廣告服務(wù) - 企業(yè)會員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國際技術(shù)信息咨詢有限公司
          備案 京ICP備12027778號-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();