<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁  資訊  商機(jī)   下載  拆解   高校  招聘   雜志  會(huì)展  EETV  百科   問答  電路圖  工程師手冊   Datasheet  100例   活動(dòng)中心  E周刊閱讀   樣片申請
          EEPW首頁 >> 主題列表 >> rf-fpga

          基于CPLD的多DSP及FPGA遠(yuǎn)程加載設(shè)計(jì)

          • 摘要:介紹了一種以CPLD為基礎(chǔ)的對多DSP和FPCA芯片實(shí)現(xiàn)程序遠(yuǎn)程更新、加載的設(shè)計(jì)方法。詳細(xì)分析了軟硬件架構(gòu)及具體實(shí)施方案,對以DSP+FPCA為架構(gòu)的信號處理模塊實(shí)現(xiàn)遠(yuǎn)程更新、加載,有重要的使用價(jià)值。
            關(guān)鍵詞:遠(yuǎn)程
          • 關(guān)鍵字: CPLD  FPGA  DSP  遠(yuǎn)程加載    

          基于FPGA的DDS IP核設(shè)計(jì)

          • 摘要:以Altera公司的QuartusⅡ7.2作為開發(fā)工具,研究了基于FPGA的DDS IP核設(shè)計(jì),并給出基于Signal TapⅡ嵌入式邏輯分析儀的仿真測試結(jié)果。將設(shè)計(jì)的DDS IP核封裝成為SOPC Builder自定義的組件,結(jié)合32位嵌入式CPU軟
          • 關(guān)鍵字: FPGA  DDS  IP核    

          FPGA/CPLD中常見模塊設(shè)計(jì)精華集錦(一)

          • 一、智能全數(shù)字鎖相環(huán)的設(shè)計(jì)  1 引言  數(shù)字鎖相環(huán)路已在數(shù)字通信、無線電電子學(xué)及電力系統(tǒng)自動(dòng)化等領(lǐng)域中得到了極為廣泛的應(yīng)用。隨著集成電路技術(shù)的發(fā)展,不僅能夠制成頻率較高的單片集成鎖相環(huán)路,而且可以把整
          • 關(guān)鍵字: FPGA  CPLD  模塊設(shè)計(jì)  集錦    

          基于FPGA的數(shù)字激光自動(dòng)功率控制系統(tǒng)設(shè)計(jì)

          • 摘要:半導(dǎo)體激光器的自動(dòng)功率控制是解決激光器閾值漂移的重要手段,本文設(shè)計(jì)了一個(gè)基于FPGA的數(shù)字激光自動(dòng)功率控制系統(tǒng),該控制系統(tǒng)主要由光電檢測、A/D轉(zhuǎn)換、SOC控制、APC判定、PWM反饋輸出及低通濾波幾個(gè)部分組成
          • 關(guān)鍵字: FPGA  數(shù)字激光  自動(dòng)功率控制  系統(tǒng)設(shè)計(jì)    

          基于遺傳算法的組合邏輯電路設(shè)計(jì)的FPGA實(shí)現(xiàn)

          • 摘要:基于遺傳算法的組合邏輯電路的自動(dòng)設(shè)計(jì),依據(jù)給出的真值表,利用遺傳算法自動(dòng)生成符合要求的組合邏輯電路。由于遺傳算法本身固有的并行性,采用軟件實(shí)現(xiàn)的方法在速度上往往受到本質(zhì)是串行計(jì)算的計(jì)算機(jī)制約,因
          • 關(guān)鍵字: FPGA  算法  電路設(shè)計(jì)  組合邏輯    

          基于ARM和FPGA的靶場破片測速系統(tǒng)的設(shè)計(jì)

          • 破片速度是戰(zhàn)斗部爆炸效能*估的一個(gè)重要參數(shù)。傳統(tǒng)的靶場破片測速系統(tǒng)多使用多路數(shù)據(jù)采集卡設(shè)置好的參數(shù)現(xiàn)場采集標(biāo)靶的試驗(yàn)波形,試驗(yàn)完成后再交由計(jì)算機(jī)進(jìn)行后期處理和解讀以獲取破片速度等參數(shù)。但隨著軍事科技的日
          • 關(guān)鍵字: FPGA  ARM  靶場破片測速  系統(tǒng)    

          Altera發(fā)布最新版Quartus II開發(fā)軟件

          • Altera公司(Nasdaq: ALTR)日前發(fā)布業(yè)界成熟可靠的最新版Quartus? II開發(fā)軟件——對于FPGA設(shè)計(jì),性能和效能在業(yè)界首屈一指的軟件。Quartus II軟件12.0版進(jìn)一步提高了用戶的效能和性能優(yōu)勢,例如,對于高性能28-nm設(shè)計(jì),編譯時(shí)間縮短了4倍。其他更新包括擴(kuò)展28-nm器件支持,初次支持Altera SoC FPGA,增強(qiáng)Qsys系統(tǒng)集成和DSP Builder工具,以及經(jīng)過改進(jìn)的知識(shí)產(chǎn)權(quán)(IP)內(nèi)核等。
          • 關(guān)鍵字: Altera  FPGA  Quartus  

          Xilinx攜手科通啟動(dòng)Zynq-7000 EPP全國6地巡回專題研討會(huì)

          • 自賽靈思Zynq?-7000 EPP系列平臺(tái)發(fā)布以來,其強(qiáng)大靈活的處理能力便受到廣大嵌入式工程師的矚目,為了早日將這一創(chuàng)新產(chǎn)品引入本土設(shè)計(jì),科通集團(tuán)現(xiàn)正式宣布聯(lián)手All Programmable技術(shù)和器件的全球領(lǐng)先企業(yè)賽靈思公司(Xilinx, Inc. (NASDAQ:XLNX)聯(lián)合宣布將在全國六大城市舉辦“2012年賽靈思&科通全球首款可擴(kuò)展處理平臺(tái)—Zynq?-7000系列專題研討會(huì)”。6月 19日該研討會(huì)將從武漢拉開帷幕,之后覆蓋上海、北京、成都、深圳、廣州。
          • 關(guān)鍵字: 賽靈思  FPGA  Zynq-7000  

          基于C語言在FPGA上實(shí)現(xiàn)DSP的解決方案

          • 基于C語言在FPGA上實(shí)現(xiàn)DSP的解決方案,硬件設(shè)計(jì)者已經(jīng)開始在高性能DSP的設(shè)計(jì)中采用FPGA技術(shù),因?yàn)樗梢蕴峁┍然赑C或者單片機(jī)的解決方法快上10-100倍的運(yùn)算量。以前,對硬件設(shè)計(jì)不熟悉的軟件開發(fā)者們很難發(fā)揮出FPGA的優(yōu)勢,而如今基于C語言的方法可以讓
          • 關(guān)鍵字: DSP  解決方案  實(shí)現(xiàn)  FPGA  語言  基于  

          RF預(yù)失真修正信號

          • 現(xiàn)代RF放大器既需要線性也需要高效率。線性要求是源于現(xiàn)代調(diào)制方法的使用,如QAM(正交幅度調(diào)制)和OFDM(正交頻分多址調(diào)制,參考文獻(xiàn)1)。這些放大器還需要效率,以降低功耗和減少散熱。開發(fā)人員通常將現(xiàn)代RF放大器組件
          • 關(guān)鍵字: 信號  修正  失真  RF  

          使用LabVIEW FPGA模塊設(shè)計(jì)IP核

          • 對于利用LabVIEW FPGA實(shí)現(xiàn)RIO目標(biāo)平臺(tái)上的定制硬件的工程師與開發(fā)人員,他們可以很容易地利用所推薦的組件設(shè)計(jì)構(gòu)建適合其應(yīng)用的、可復(fù)用且可擴(kuò)展的代碼模塊?;谝呀?jīng)驗(yàn)證的設(shè)計(jì)進(jìn)行代碼模塊開發(fā),將使現(xiàn)有IP在未來應(yīng)
          • 關(guān)鍵字: LabVIEW  FPGA  IP核  模塊設(shè)計(jì)    

          解析基于FPGA的智能控制器設(shè)計(jì)及測試方法

          • 1引言隨著市場需求的增長,超大規(guī)模集成電路的集成度和工藝水平不斷提高,在一個(gè)芯片上完成系統(tǒng)級的...
          • 關(guān)鍵字: FPGA  VHDL  仿真測試  智能控制器  

          基于FPGA實(shí)現(xiàn)DSP與Rapid IO網(wǎng)絡(luò)互聯(lián)系統(tǒng)

          • 電子產(chǎn)品世界,為電子工程師提供全面的電子產(chǎn)品信息和行業(yè)解決方案,是電子工程師的技術(shù)中心和交流中心,是電子產(chǎn)品的市場中心,EEPW 20年的品牌歷史,是電子工程師的網(wǎng)絡(luò)家園
          • 關(guān)鍵字: DSP  FPGA  Rapid網(wǎng)絡(luò)  

          基于FPGA設(shè)計(jì)DSP的實(shí)踐與改進(jìn)設(shè)計(jì)

          • 電子產(chǎn)品世界,為電子工程師提供全面的電子產(chǎn)品信息和行業(yè)解決方案,是電子工程師的技術(shù)中心和交流中心,是電子產(chǎn)品的市場中心,EEPW 20年的品牌歷史,是電子工程師的網(wǎng)絡(luò)家園
          • 關(guān)鍵字: DSP  FPGA  matlab  Simulink環(huán)境  

          采用VHDL和發(fā)接復(fù)用器的SDH系統(tǒng)設(shè)計(jì)及FPGA仿真

          • 采用VHDL和發(fā)接復(fù)用器的SDH系統(tǒng)設(shè)計(jì)及FPGA仿真,針對目前國內(nèi)SDH系統(tǒng)中還沒有一個(gè)專門的E1分接復(fù)用芯征,本文介紹一種用高級硬件描述語言VHDL及狀態(tài)轉(zhuǎn)移圖完成該發(fā)接復(fù)用器的設(shè)計(jì)的新型設(shè)計(jì)方法及其FPGA實(shí)現(xiàn)。并給出了用Xilinx FoundaTIon tools EDA軟件設(shè)計(jì)的電路
          • 關(guān)鍵字: 設(shè)計(jì)  FPGA  仿真  系統(tǒng)  SDH  VHDL  復(fù)用器  采用  
          共7012條 238/468 |‹ « 236 237 238 239 240 241 242 243 244 245 » ›|

          rf-fpga介紹

          您好,目前還沒有人創(chuàng)建詞條rf-fpga!
          歡迎您創(chuàng)建該詞條,闡述對rf-fpga的理解,并與今后在此搜索rf-fpga的朋友們分享。    創(chuàng)建詞條

          熱門主題

          樹莓派    linux   
          關(guān)于我們 - 廣告服務(wù) - 企業(yè)會(huì)員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機(jī)EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國際技術(shù)信息咨詢有限公司
          備案 京ICP備12027778號-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();