<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁(yè)  資訊  商機(jī)   下載  拆解   高校  招聘   雜志  會(huì)展  EETV  百科   問(wèn)答  電路圖  工程師手冊(cè)   Datasheet  100例   活動(dòng)中心  E周刊閱讀   樣片申請(qǐng)
          EEPW首頁(yè) >> 主題列表 >> rf-fpga

          用VHDL/VerilogHD語(yǔ)言開(kāi)發(fā)PLD/FPGA的完整流程

          • 用VHDL/VerilogHD語(yǔ)言開(kāi)發(fā)PLD/FPGA的完整流程,用VHDL/VerilogHD語(yǔ)言開(kāi)發(fā)PLD/FPGA的完整流程為:  1.文本編輯:用任何文本編輯器都可以進(jìn)行,也可以用專用的HDL編輯環(huán)境。通常VHDL文件保存為.vhd文件,Verilog文件保存為.v文件  2.功能仿真:將文件調(diào)入HDL仿真
          • 關(guān)鍵字: 完整  流程  PLD/FPGA  開(kāi)發(fā)  語(yǔ)言  VHDL/VerilogHD  

          基于FPGA的LED電視動(dòng)態(tài)背光系統(tǒng)設(shè)計(jì)

          • 基于FPGA的LED電視動(dòng)態(tài)背光系統(tǒng)設(shè)計(jì),本文設(shè)計(jì)一款基于FPGA(現(xiàn)場(chǎng)可編程門陣列)的液晶電視動(dòng)態(tài)背光系統(tǒng),采用LED側(cè)背光方式,符合當(dāng)前液晶電視LED背光應(yīng)用主流?! ‰娨晞?dòng)態(tài)背光  以往的電視機(jī)主板將圖像信號(hào)通過(guò)LVDS(LowVoltageDifferentialSignal,低
          • 關(guān)鍵字: 背光  系統(tǒng)  設(shè)計(jì)  動(dòng)態(tài)  電視  FPGA  LED  基于  

          基于FPGA的IPV6數(shù)字包的分離與封裝的實(shí)現(xiàn)

          • 基于FPGA的IPV6數(shù)字包的分離與封裝的實(shí)現(xiàn),筆者在參加國(guó)家“863”重大專題項(xiàng)目“高速密碼芯片及驗(yàn)證平臺(tái)系統(tǒng)”的過(guò)程中,遇到了將IPV6數(shù)據(jù)包的包頭和數(shù)據(jù)部分拆開(kāi),然后在數(shù)據(jù)部分送密碼芯片進(jìn)行加/解密處理,最后再將處理后的數(shù)據(jù)部分與
          • 關(guān)鍵字: 封裝  實(shí)現(xiàn)  分離  數(shù)字  FPGA  IPV6  基于  

          基于CMOS工藝的RF集成電路設(shè)計(jì)

          • 近年來(lái),有關(guān)將CMOS工藝在射頻(RF)技術(shù)中應(yīng)用的可能性的研究大量增多。深亞微米技術(shù)允許CMOS電路的工作頻率超過(guò)1GHz,這無(wú)疑推動(dòng)了集成CMOS射頻電路的發(fā)展。目前,幾個(gè)研究組已利用標(biāo)準(zhǔn)的CMOS工藝開(kāi)發(fā)出高性能的下
          • 關(guān)鍵字: 集成  電路設(shè)計(jì)  RF  工藝  CMOS  基于  

          未來(lái)手機(jī)RF前端設(shè)計(jì)解析

          • 在過(guò)去十年中,手機(jī)經(jīng)歷了巨大的變革。面世伊始僅供人們通話和收發(fā)短信的手機(jī),現(xiàn)在已經(jīng)轉(zhuǎn)變?yōu)槎喙δ苁殖衷O(shè)備,融電話、Web瀏覽器、短信工具、照相機(jī)、游戲機(jī)、MP3播放器和很多實(shí)用功能于一體,能夠滿足人們的移動(dòng)信
          • 關(guān)鍵字: 設(shè)計(jì)  解析  前端  RF  手機(jī)  未來(lái)  

          基于FPGA的LVDS高速數(shù)據(jù)通信卡設(shè)計(jì)

          • 摘要 基于FPGA、PCI9054、SDRAM和DDS設(shè)計(jì)了用于某遙測(cè)信號(hào)模擬源的專用板卡。PCI9054實(shí)現(xiàn)與上位機(jī)的數(shù)據(jù)交互,F(xiàn)PGA實(shí)現(xiàn)PCI本地接口轉(zhuǎn)換、數(shù)據(jù)接收發(fā)送控制及DDS芯片的配置。通過(guò)WDM驅(qū)動(dòng)程序設(shè)計(jì)及MFC交互界面設(shè)計(jì),最
          • 關(guān)鍵字: 數(shù)據(jù)通信  設(shè)計(jì)  高速  LVDS  FPGA  

          FPGA進(jìn)入硅片融合時(shí)代

          •          FPGA在經(jīng)過(guò)了從上世紀(jì)90年代到2000年的快速發(fā)展、隨后短期的泡沫破裂、以及近幾年的平穩(wěn)增長(zhǎng)的發(fā)展階段,未來(lái)會(huì)邁入硅片融合時(shí)代。   Altera公司資深副總裁兼首席技術(shù)官M(fèi)isha Burich         下面這張圖能夠很直觀的表示FPGA的演進(jìn)過(guò)程,結(jié)構(gòu)越來(lái)越復(fù)雜,功能越來(lái)越強(qiáng)大,應(yīng)用越來(lái)越廣泛。 F
          • 關(guān)鍵字: Altera  FPGA  硅片融合  

          基于FPGA狀態(tài)機(jī)的智能手推車多模式控制應(yīng)用

          • 摘要:本設(shè)計(jì)采用XILINX Spartan-3E系列FPGA芯片,在基于FPGA(現(xiàn)場(chǎng)可編程門陣列)器件的設(shè)計(jì)中,狀態(tài)機(jī)是目前應(yīng)用最普遍的設(shè)計(jì)方法之一。
          • 關(guān)鍵字: FPGA  XILINX  201205  

          基于FPGA的實(shí)時(shí)數(shù)字化光纖傳輸系統(tǒng)

          • 摘要 提出一種實(shí)時(shí)數(shù)字化光纖傳輸系統(tǒng),該系統(tǒng)分為發(fā)送端和接收端。發(fā)送端用A/D轉(zhuǎn)換器將輸入的模擬信號(hào)數(shù)字化,再用FPGA對(duì)數(shù)據(jù)進(jìn)行處理,并通過(guò)光纖傳輸。同時(shí),F(xiàn)PGA還控制A/D轉(zhuǎn)換器的工作。接收端用串行收發(fā)器TLK
          • 關(guān)鍵字: FPGA  實(shí)時(shí)數(shù)字  光纖傳輸  系統(tǒng)    

          開(kāi)關(guān)磁阻電機(jī)全數(shù)字控制系統(tǒng)中FPGA的應(yīng)用

          • 摘要:提出了基于數(shù)字信號(hào)處理器(DSP)和現(xiàn)場(chǎng)可編程門陣列(FPGA)的開(kāi)關(guān)磁阻電動(dòng)機(jī)全數(shù)字控制系統(tǒng),對(duì)DSP和FPGA的功能進(jìn)行了分配。根據(jù)開(kāi)關(guān)磁阻電動(dòng)機(jī)的驅(qū)動(dòng)要求,分析控制邏輯,由FPGA實(shí)現(xiàn)了電流斬波、角度位置和PWM電
          • 關(guān)鍵字: FPGA  開(kāi)關(guān)磁阻電機(jī)  全數(shù)字  控制系統(tǒng)    

          基于改進(jìn)的布斯算法FPGA嵌入式18×18乘法器

          • 摘要:設(shè)計(jì)了一款嵌入FPGA的乘法器,該乘法器能夠滿足兩個(gè)18 b有符號(hào)或17 b無(wú)符號(hào)數(shù)的乘法運(yùn)算。該設(shè)計(jì)基于改進(jìn)的布斯算法,提出了一種新的布斯譯碼和部分積結(jié)構(gòu),并對(duì)9-2壓縮樹(shù)和超前進(jìn)位加法器進(jìn)行了優(yōu)化。該乘法器
          • 關(guān)鍵字: FPGA  算法  嵌入式  乘法器    

          基于FPGA的FFT算法優(yōu)化及其在磁共振譜儀中的應(yīng)用

          • 摘要:提出了一種基于FPGA的依據(jù)核磁共振譜儀雙通道頻譜圖對(duì)其信號(hào)增益和相位差不平衡進(jìn)行調(diào)節(jié)的設(shè)計(jì)方案,詳細(xì)闡述了FFT算法在FPGA中的設(shè)計(jì)與實(shí)現(xiàn)方法。該模塊中的FFT處理器通過(guò)多個(gè)64點(diǎn)并行FFT模塊復(fù)用實(shí)現(xiàn),復(fù)數(shù)乘
          • 關(guān)鍵字: FPGA  FFT  算法優(yōu)化  磁共振    

          基于FPGA高階FIR濾波器的實(shí)現(xiàn)

          • 摘要:從FIR數(shù)字濾波器的基本結(jié)構(gòu)模型出發(fā),分析了FIR濾波器的設(shè)計(jì)思路及具體實(shí)現(xiàn)方法,詳細(xì)介紹了FIR濾波器的分布式算法(DA)結(jié)構(gòu)。通過(guò)分析計(jì)算,得到普通DA結(jié)構(gòu)實(shí)現(xiàn)高階濾波器會(huì)消耗大量的查找表資源,這樣的資源消
          • 關(guān)鍵字: FPGA  FIR  濾波器    

          如何用FPGA構(gòu)建更出色的DC/DC調(diào)節(jié)器

          • 摘要:設(shè)計(jì)人員此前一直使用模擬元件來(lái)構(gòu)建開(kāi)關(guān)模式DC/DC轉(zhuǎn)換器(定制型IC、運(yùn)算放大器、電阻、電容等),控制反饋回路,并生成開(kāi)關(guān)所需的脈寬調(diào)制。使用這種模擬元件時(shí),我們必須考慮一系列因素,包括容差、電氣應(yīng)力、老化漂移以及溫度漂移,這樣才能確保設(shè)計(jì)方案的穩(wěn)定性?,F(xiàn)在,我們擁有低成本低功耗FPGA以及模數(shù)轉(zhuǎn)換器,使得FPGA能夠取代傳統(tǒng)的模擬設(shè)計(jì)方法。
          • 關(guān)鍵字: DC/DC  FPGA  轉(zhuǎn)換器  201205  

          基于FPGA的森林防火統(tǒng)一通信平臺(tái)研究

          • 摘要:隨著林業(yè)的不斷發(fā)展,森林的防火工作顯得尤為重要,當(dāng)火警發(fā)生時(shí),一種及時(shí)有效的通信平臺(tái)對(duì)于救火工作顯得極為重要。為滿足森林救火統(tǒng)一通信的需求,研究了一種森林防火語(yǔ)音統(tǒng)一通信平臺(tái),適用于森林救火,采用了FPGA高速信號(hào)處理芯片,可提高產(chǎn)品性能。
          • 關(guān)鍵字: FPGA  通信  201205  
          共7012條 242/468 |‹ « 240 241 242 243 244 245 246 247 248 249 » ›|

          rf-fpga介紹

          您好,目前還沒(méi)有人創(chuàng)建詞條rf-fpga!
          歡迎您創(chuàng)建該詞條,闡述對(duì)rf-fpga的理解,并與今后在此搜索rf-fpga的朋友們分享。    創(chuàng)建詞條

          熱門主題

          樹(shù)莓派    linux   
          關(guān)于我們 - 廣告服務(wù) - 企業(yè)會(huì)員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機(jī)EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國(guó)際技術(shù)信息咨詢有限公司
          備案 京ICP備12027778號(hào)-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();