<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁  資訊  商機(jī)   下載  拆解   高校  招聘   雜志  會(huì)展  EETV  百科   問答  電路圖  工程師手冊(cè)   Datasheet  100例   活動(dòng)中心  E周刊閱讀   樣片申請(qǐng)
          EEPW首頁 >> 主題列表 >> rf-fpga

          基于CycloneII和MSP430的網(wǎng)絡(luò)數(shù)據(jù)加密實(shí)現(xiàn)

          基于NiosII的視頻采集與DVI成像研究及實(shí)現(xiàn)

          • 摘要:采用FPGA作為視頻采集控制和圖像處理芯片,配置NiosII軟核,在FPGA片內(nèi)完成圖像處理和圖像顯示控制,...
          • 關(guān)鍵字: FPGA  NiosII  DVI  圖像采集  

          SignalTapII ELA的FPGA在線調(diào)試技術(shù)

          • 通過對(duì)FPGA內(nèi)部信號(hào)的捕獲測(cè)試,可以實(shí)現(xiàn)對(duì)系統(tǒng)設(shè)計(jì)缺陷的實(shí)時(shí)分析和修正。與外部測(cè)試設(shè)備相比,可以總結(jié)出SignalTapII ELA的幾點(diǎn)優(yōu)越性:不占用額外的I/O引腳,不占用PCB上的空間,不破壞信號(hào)的時(shí)序和完整性,不需額外費(fèi)用;從多方面證實(shí),該測(cè)試手段可以減少調(diào)試時(shí)間,縮短設(shè)計(jì)周期。
          • 關(guān)鍵字: SignalTapII  FPGA  ELA  在線調(diào)試    

          低碼率語音編碼MELP聲碼器的SOPC實(shí)現(xiàn)

          • 摘要:討論了低碼率語音編碼MELP的編解碼過程,有效降低了語音編碼碼率并能使說話者個(gè)人語音特征減弱,特...
          • 關(guān)鍵字: SOPC  MELP  語音編碼  FPGA  NiosII  

          誰會(huì)在代工投資“盛宴”中缺席?

          •   在前3年之前全球代工總是在看前4大的動(dòng)向,包括臺(tái)積電、聯(lián)電、中芯國(guó)際及特許。然而,臺(tái)積電一家獨(dú)大,聯(lián)電居老二似乎也相安無事。   自AMD分出Globalfoundries,及ATIC又兼并特許,再把Globalfoundries與特許合并在一起。表面上看少了一個(gè)特許,實(shí)際上由于Globalfoundries在其金主支持下積極建新廠,在代工業(yè)界引發(fā)了波浪,至少誰將成為老二成為話題。   加上存儲(chǔ)器大享三星近期開始投資代工,放言要接高通的手機(jī)芯片訂單;加上fabless大廠Xilinx改變策略,把2
          • 關(guān)鍵字: 臺(tái)積電  FPGA  28nm  

          子帶分解的自適應(yīng)濾波器的FPGA實(shí)現(xiàn)

          • 基于子帶分解的自適應(yīng)濾波器在提高收斂性能的同時(shí)又可以節(jié)省一定的計(jì)算量。采用Altera公司的仿真軟件Altera DSP Builder和QuartusⅡ7.2進(jìn)行子帶分解的NLMS算法的自適應(yīng)濾波器現(xiàn)場(chǎng)可編程門陣列設(shè)計(jì),利用Simulink和ModelSim對(duì)設(shè)計(jì)方案進(jìn)行了模型仿真和功能仿真,達(dá)到較好的效果。
          • 關(guān)鍵字: FPGA  分解  自適應(yīng)濾波器    

          基于FPGA的RS485接口誤碼測(cè)試儀的設(shè)計(jì)和實(shí)現(xiàn)

          • 介紹了一種基于FPGA的誤碼測(cè)試儀的設(shè)計(jì)原理、實(shí)現(xiàn)過程及調(diào)試經(jīng)驗(yàn)。該誤碼測(cè)試系統(tǒng)使用RS485接口,具有原理簡(jiǎn)單、接口獨(dú)特、功能豐富等特點(diǎn),系統(tǒng)具有較好的可擴(kuò)展性。
          • 關(guān)鍵字: FPGA  485  RS  接口    

          常用FPGA/CPLD四種設(shè)計(jì)技巧

          • 常用FPGA/CPLD四種設(shè)計(jì)技巧,FPGA/CPLD的設(shè)計(jì)思想與技巧是一個(gè)非常大的話題,本文僅介紹一些常用的設(shè)計(jì)思想與技巧,包括乒乓球操作、串并轉(zhuǎn)換、流水線操作和數(shù)據(jù)接口的同步方法。希望本文能引起工程師們的注意,如果能有意識(shí)地利用這些原則指導(dǎo)日
          • 關(guān)鍵字: 技巧  設(shè)計(jì)  FPGA/CPLD  常用  

          可實(shí)現(xiàn)快速鎖定的FPGA片內(nèi)延時(shí)鎖相環(huán)設(shè)計(jì)

          • 摘要:延時(shí)鎖相環(huán)(DLL)是一種基于數(shù)字電路實(shí)現(xiàn)的時(shí)鐘管理技術(shù)。DLL可用以消除時(shí)鐘偏斜,對(duì)輸入時(shí)鐘進(jìn)行分頻、倍頻、移相等操作。文中介紹了FPGA芯片內(nèi)DLL的結(jié)構(gòu)和設(shè)計(jì)方案,在其基礎(chǔ)上提出可實(shí)現(xiàn)快速鎖定的延時(shí)鎖相環(huán)
          • 關(guān)鍵字: FPGA  延時(shí)  鎖相環(huán)    

          AEMB軟核處理器的SoC系統(tǒng)驗(yàn)證平臺(tái)的構(gòu)建

          基于FPGA的RS485接口誤碼測(cè)試儀的設(shè)計(jì)

          • 摘要:介紹了一種基于FPGA的誤碼測(cè)試儀的設(shè)計(jì)原理、實(shí)現(xiàn)過程及調(diào)試經(jīng)驗(yàn)。該誤碼測(cè)試系統(tǒng)使用RS485接口...
          • 關(guān)鍵字: FPGA  RS485  誤碼測(cè)試儀  

          使用SignalTap II邏輯分析儀調(diào)試FPGA

          • 摘 要 :本文介紹了可編程邏輯器件開發(fā)工具Quartus II 中SingalTap II 嵌入式邏輯分析器的使用,并給出一個(gè)具體的設(shè)計(jì)實(shí)例,詳細(xì)介紹使用SignalTap II對(duì)FPGA調(diào)試的具體方法和步驟。
            關(guān)鍵字 : SignalTap;硬件調(diào)試
          • 關(guān)鍵字: SignalTap  FPGA  邏輯分析儀  調(diào)試    

          復(fù)用器重構(gòu)降低FPGA成本

          • 摘 要: 本文介紹了一種新的復(fù)用器重構(gòu)算法,能夠降低FPGA實(shí)際設(shè)計(jì)20%的成本。該算法通過減少復(fù)用器所需查找表(LUT)的數(shù)量來實(shí)現(xiàn)。算法以效率更高的4:1復(fù)用器替代2:1復(fù)用器樹。算法性能的關(guān)鍵在于尋找總線上出現(xiàn)的
          • 關(guān)鍵字: FPGA  復(fù)用器    

          利用FPGA和CPLD數(shù)字邏輯實(shí)現(xiàn)ADC

          • 數(shù)字系統(tǒng)的設(shè)計(jì)人員擅長(zhǎng)在其印制電路板上用FPGA和CPLD將各種處理器、存儲(chǔ)器和標(biāo)準(zhǔn)的功能元件粘合在一起來實(shí)現(xiàn)數(shù)字設(shè)計(jì)。除了這些數(shù)字功能之外,F(xiàn)PGA和CPLD還可以使用LVDS輸入、簡(jiǎn)單的電阻電容(RC)電路和一些FPGA或CP
          • 關(guān)鍵字: FPGA  CPLD  ADC  數(shù)字邏輯    

          基于Actel FPGA的VGA顯示控制方案

          •   目前無論是工控產(chǎn)品還是消費(fèi)產(chǎn)品,在需要用到大屏幕的場(chǎng)合最常使用的是基于VGA接口的顯示器。VGA接口的顯示器具有顯示分辨率大、顯示圖像細(xì)膩、彩色效果突出等特點(diǎn)。正是由于這些優(yōu)點(diǎn),VGA接口的控制器廣泛應(yīng)用于各種終端設(shè)備、醫(yī)療儀器、汽車電子、消費(fèi)電子等領(lǐng)域。   1.VGA簡(jiǎn)介   VGA是視頻圖形陣列(Video Graphics Array)的簡(jiǎn)稱,是IBM于1987年提出的一個(gè)使用模擬信號(hào)的圖形顯示標(biāo)準(zhǔn)。最初的VGA標(biāo)準(zhǔn)最大只能支持640*480分辨率的顯示器,而為了適應(yīng)大屏幕的應(yīng)用,視頻
          • 關(guān)鍵字: Actel  FPGA  VGA接口  201005  
          共7012條 344/468 |‹ « 342 343 344 345 346 347 348 349 350 351 » ›|

          rf-fpga介紹

          您好,目前還沒有人創(chuàng)建詞條rf-fpga!
          歡迎您創(chuàng)建該詞條,闡述對(duì)rf-fpga的理解,并與今后在此搜索rf-fpga的朋友們分享。    創(chuàng)建詞條

          熱門主題

          樹莓派    linux   
          關(guān)于我們 - 廣告服務(wù) - 企業(yè)會(huì)員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機(jī)EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國(guó)際技術(shù)信息咨詢有限公司
          備案 京ICP備12027778號(hào)-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();