<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁  資訊  商機(jī)   下載  拆解   高校  招聘   雜志  會展  EETV  百科   問答  電路圖  工程師手冊   Datasheet  100例   活動中心  E周刊閱讀   樣片申請
          EEPW首頁 >> 主題列表 >> rf-fpga

          FPGA在語音存儲與回放系統(tǒng)中的應(yīng)用

          •   1 引言   隨著數(shù)字信號處理器、超大規(guī)模集成電路的高速發(fā)展,語音記錄技術(shù)已從模擬錄音階段過渡到數(shù)字錄音階段。在數(shù)字化錄音技術(shù)中,壓縮后的語音數(shù)據(jù)有些存儲在硬盤中,有些存儲在帶有掉電保護(hù)功能的RAM或FLASH存儲器中。筆者介紹的語音存儲與回放系統(tǒng),未使用專用的語音處理芯片,不需要擴(kuò)展接口電路,只利用FPGA作為核心控制器,就能完成語音信號的數(shù)字化處理,即實(shí)現(xiàn)語音的存儲與回放。   2 系統(tǒng)總體結(jié)構(gòu)   數(shù)字化語音存儲與回放系統(tǒng)的基本工作原理是將模擬語音信號通過模數(shù)轉(zhuǎn)換器(A/D)轉(zhuǎn)換成數(shù)字信號
          • 關(guān)鍵字: FPGA  

          基于DVD-ROM應(yīng)用的內(nèi)容加擾系統(tǒng)的VLSI設(shè)計

          •   摘 要:本文介紹了一種基于DVD-ROM應(yīng)用的內(nèi)容干擾系統(tǒng)(CSS)的設(shè)計和實(shí)現(xiàn)。該系統(tǒng)可有效防止對DVD盤片的非法拷貝。文中通過對其工作原理和實(shí)際應(yīng)用的分析,給出其最終實(shí)現(xiàn)方案,并用Verilog HDL完整整個系統(tǒng)的設(shè)計。功能仿真和FPGA驗(yàn)證表明,設(shè)計成功。   關(guān)鍵詞:內(nèi)容加擾系統(tǒng);DVD;Verilog HDL;FPGA驗(yàn)證   引 言   DVD-ROM的視頻和音頻數(shù)據(jù)是經(jīng)過加密編碼的,加擾的源是標(biāo)題密鑰(Tittle Key),同時標(biāo)題密鑰被光盤密鑰(光盤密鑰)加密,主機(jī)在播放影碟
          • 關(guān)鍵字: FPGA DVD CSS VLSI   

          使用FPGA測試的一些有效方法

          • 引言   隨著芯片設(shè)計技術(shù)越來越成熟,越來越多的產(chǎn)品選擇使用SoC(System on Chip)的技術(shù)實(shí)現(xiàn)。然而,每一次流片不一定都能達(dá)到預(yù)期的效果。根據(jù)Synopsys公司統(tǒng)計,有超過60%的公司需要重新流片(respin)。在這個過程中浪費(fèi)了大量的金錢,一次修正平均的花費(fèi)就超過100萬美元。如果一旦錯過了商品推出的最佳時機(jī),那么錯過市場機(jī)會的代價則以數(shù)千萬美元計,甚至更高。據(jù)統(tǒng)計,在需要respin的芯片中有43%是在前端的設(shè)計和實(shí)現(xiàn)的時候產(chǎn)生的邏輯功能錯誤。如何避免或減小如此高的風(fēng)險是每一
          • 關(guān)鍵字: FPGA  

          基于FPGA系統(tǒng)易測試性的研究

          • 引 言 現(xiàn)代科技對系統(tǒng)的可靠性提出了更高的要求,而FPGA技術(shù)在電子系統(tǒng)中應(yīng)用已經(jīng)非常廣泛,因此FPGA易測試性就變得很重要。要獲得的FPGA內(nèi)部信號十分有限、FPGA封裝和印刷電路板(PCB)電氣噪聲,這一切使得設(shè)計調(diào)試和檢驗(yàn)變成設(shè)計中最困難的一個流程。另一方面,當(dāng)前幾乎所有的像CPU、DSP、ASIC等高速芯片的總線,除了提供高速并行總線接口外,正迅速向高速串行接口的方向發(fā)展,F(xiàn)PGA也不例外。每一條物理鏈路的速度從600 Mbps到10 Gbps,高速I/O的測試和驗(yàn)證更成為傳統(tǒng)專注于FPG
          • 關(guān)鍵字: FPGA  

          基于FPGA的USB2.0虛擬邏輯分析儀的設(shè)計與實(shí)現(xiàn)

          • 引言   傳統(tǒng)的邏輯分析儀體積龐大、價格昂貴、通道數(shù)目有限,并且在數(shù)據(jù)采集、傳輸、存儲、顯示等方面存在諸多限制,在很大程度上影響了其在實(shí)際中的應(yīng)用。選用高性能的FPGA芯片進(jìn)行數(shù)據(jù)處理,充分利用PC的強(qiáng)大處理功能,配合LabView圖形化語言開發(fā)的虛擬邏輯分析儀,其數(shù)據(jù)處理和傳輸速率大大提高,適用性極大增強(qiáng),其顯示、操作界面和低廉的成本較之傳統(tǒng)的邏輯分析儀具有極大的優(yōu)勢和發(fā)展前景。   工作原理   本設(shè)計選用Altera公司的Cyclone系列FPGA器件EP1C3進(jìn)行數(shù)據(jù)采集和處理,外接SRAM
          • 關(guān)鍵字: FPGA  

          采用FPGA實(shí)現(xiàn)廣播視頻基礎(chǔ)系統(tǒng)設(shè)計

          基于FPGA的步進(jìn)電機(jī)控制器設(shè)計

          •   步進(jìn)電機(jī)是一種將電脈沖信號轉(zhuǎn)換成相應(yīng)的角位移的特殊電機(jī),每改變一次通電狀態(tài),步進(jìn)電機(jī)的轉(zhuǎn)子就轉(zhuǎn)動一步。目前大多數(shù)步進(jìn)電機(jī)控制器需要主控制器發(fā)送時鐘信號,并且要至少一個I/O口來輔助控制和監(jiān)控步進(jìn)電機(jī)的運(yùn)行情況。在單片機(jī)或DSP的應(yīng)用系統(tǒng)中,經(jīng)常配合CPLD或者FPGA來實(shí)現(xiàn)特定的功能。本文介紹通過FPGA實(shí)現(xiàn)的步進(jìn)電機(jī)控制器。該控制器可以作為單片機(jī)或DSP的一個直接數(shù)字控制的外設(shè),只需向控制器的控制寄存器和分頻寄存器寫入數(shù)據(jù),即町實(shí)現(xiàn)對步進(jìn)電機(jī)的控制。   1 步進(jìn)電機(jī)的控制原理   步進(jìn)電機(jī)是數(shù)
          • 關(guān)鍵字: FPGA  

          一種CAN息線光纖傳輸接口設(shè)計

          •   摘要 在分析CAN總線雙絞線和光纖傳輸特點(diǎn)的基礎(chǔ)上,提出一種基于光纖收發(fā)一體模塊及CAN總線控制器SJAl000的光纖傳輸接口設(shè)計方案;詳細(xì)介紹光纖收發(fā)器的選取及傳輸接口的實(shí)現(xiàn);根據(jù)光纖收發(fā)一體模塊對信號源時鐘提取的要求以及CAN總線的非破壞性總線仲裁的特點(diǎn),設(shè)計了一種CAN總線信號編解碼方法,井用FPGA加以實(shí)現(xiàn);通過實(shí)際的通信實(shí)驗(yàn)驗(yàn)證了設(shè)計方案的正確性,并根據(jù)實(shí)驗(yàn)數(shù)據(jù)對CAN總線在兩種介質(zhì)下的傳輸性能作了比較。   關(guān)鍵詞 CAN總線 光纖 傳輸接口 FPGA   引 言   作為一種成熟的
          • 關(guān)鍵字: CAN總線 光纖 傳輸接口 FPGA  

          RF遙控器和無線充電短距離技術(shù)

          FPGA如何同DDR3存儲器進(jìn)行接口?

          •   大家好,我叫Paul Evans,是Stratix III產(chǎn)品營銷經(jīng)理。到目前為止,我已經(jīng)從事了6年的雙倍數(shù)據(jù)速率存儲器工作,今天和大家一起討論一下DDR3。DDR3的主要難題之一是它引入了數(shù)據(jù)交錯,如屏幕上所示。   為了更好地進(jìn)行演示,我們將使用這里所示的Stratix III DDR3存儲器電路板。它上面有幾個高速雙倍數(shù)據(jù)速率存儲器,例如DDR2 UDIMM插槽、RLD RAM、QDR,當(dāng)然,還有DDR3 UDIMM插槽。因此,我們所要做的就是通過Quartus軟件來下載一個簡單設(shè)計,F(xiàn)PGA
          • 關(guān)鍵字: FPGA DDR3 存儲器  

          利用FPGA進(jìn)行高速可變周期脈沖發(fā)生器設(shè)計

          •   1 概括   要求改變脈沖周期和輸出脈沖個數(shù)的脈沖輸出電路模塊在許多工業(yè)領(lǐng)域都有運(yùn)用。采用數(shù)字器件設(shè)計周期和輸出個數(shù)可調(diào)節(jié)的脈沖發(fā)生模塊是方便可行的。為了使之具有高速、靈活的優(yōu)點(diǎn),本文采用atelra公司的可編程芯片F(xiàn)PGA設(shè)計了一款周期和輸出個數(shù)可變的脈沖發(fā)生器。經(jīng)過板級調(diào)試獲得良好的運(yùn)行效果。   2 總體設(shè)計思路   脈沖的周期由高電平持續(xù)時間與低電平持續(xù)時間共同構(gòu)成,為了改變周期,采用兩個計數(shù)器來分別控制高電平持續(xù)時間和低電平持續(xù)時間。計數(shù)器采用可并行加載初始值的n位減法計數(shù)器。設(shè)定:當(dāng)
          • 關(guān)鍵字: FPGA  

          增值服務(wù):FPGA分銷關(guān)鍵詞

          •   FPGA是現(xiàn)場可編程門陣列產(chǎn)品的簡稱。消費(fèi)電子等領(lǐng)域的迅猛發(fā)展,引起了FPGA廠商的重視,他們紛紛應(yīng)對發(fā)展推出了新的產(chǎn)品以滿足市場需要。Xilinx及時推出適應(yīng)新技術(shù)需要的Spartan-3A系列,Altera公司推出低功耗的CycloneIII系列產(chǎn)品,ACTEL公司推出了IGLOO系列。FPGA市場展開新一輪的競爭,各大FPGA廠商的分銷商都在配合原廠大力推廣新的產(chǎn)品。   FPGA分銷對技術(shù)支持要求高   FPGA產(chǎn)品的技術(shù)專業(yè)性較強(qiáng),它的分銷與ASIC(專用集成電路)、ASSP(特定應(yīng)用的
          • 關(guān)鍵字: FPGA  

          基于FPGA的數(shù)字視頻轉(zhuǎn)換接口的設(shè)計與實(shí)現(xiàn)

          •   引言   本文從實(shí)際應(yīng)用的角度出發(fā),采用FPGA作為主控芯片,設(shè)計了一款數(shù)字視頻接口轉(zhuǎn)換設(shè)備,該設(shè)備針對于MT9M111這款數(shù)字圖像傳感器產(chǎn)生的ITU-R BT.656格式數(shù)據(jù)進(jìn)行采集、色彩空間變換、分辨率轉(zhuǎn)換等操作,完成了從ITU-R BT.656格式數(shù)據(jù)到DVI格式數(shù)據(jù)的轉(zhuǎn)換,使得MT9M111數(shù)字圖像傳感器的BT656數(shù)據(jù)格式圖像能夠以1280×960(60Hz)和1280×1024(60Hz)兩種顯示格式在DVI-I接口的顯示器上顯示,并且還具有圖像靜止功能,在系統(tǒng)空
          • 關(guān)鍵字: FPGA 數(shù)字視頻 接口 傳感器   

          基于FPGA的數(shù)字視頻轉(zhuǎn)換接口的設(shè)計與實(shí)現(xiàn)

          •   引言   本文從實(shí)際應(yīng)用的角度出發(fā),采用FPGA作為主控芯片,設(shè)計了一款數(shù)字視頻接口轉(zhuǎn)換設(shè)備,該設(shè)備針對于MT9M111這款數(shù)字圖像傳感器產(chǎn)生的ITU-R BT.656格式數(shù)據(jù)進(jìn)行采集、色彩空間變換、分辨率轉(zhuǎn)換等操作,完成了從ITU-R BT.656格式數(shù)據(jù)到DVI格式數(shù)據(jù)的轉(zhuǎn)換,使得MT9M111數(shù)字圖像傳感器的BT656數(shù)據(jù)格式圖像能夠以1280×960(60Hz)和1280×1024(60Hz)兩種顯示格式在DVI-I接口的顯示器上顯示,并且還具有圖像靜止功能,在系統(tǒng)空
          • 關(guān)鍵字: FPGA  

          利用SmartCompile和賽靈思的設(shè)計工具進(jìn)行設(shè)計保存

          •   在FPGA環(huán)境下,設(shè)計保存實(shí)施比較復(fù)雜,需要保存的事項(xiàng)包括:一項(xiàng)設(shè)計的HDL描述、一個模塊的綜合網(wǎng)表、約束文件內(nèi)的布局信息,以及在局部比特文件中的配置數(shù)據(jù)。賽靈思集成軟件環(huán)境(ISE) 9.1i 軟件以新的SmartCompile 技術(shù)為特色,其中包含兩種新的方法:SmartGuide和Partitions,這兩種方法可以保存像布局或布線這樣的設(shè)計執(zhí)行數(shù)據(jù),并且可以減少解決問題所花費(fèi)的時間。   SmartGuide采用命名和拓樸匹配技術(shù)來識別一個FPGA設(shè)計中相對于以前的實(shí)現(xiàn)還沒有發(fā)生改變的各個部
          • 關(guān)鍵字: FPGA  
          共7012條 424/468 |‹ « 422 423 424 425 426 427 428 429 430 431 » ›|

          rf-fpga介紹

          您好,目前還沒有人創(chuàng)建詞條rf-fpga!
          歡迎您創(chuàng)建該詞條,闡述對rf-fpga的理解,并與今后在此搜索rf-fpga的朋友們分享。    創(chuàng)建詞條

          熱門主題

          樹莓派    linux   
          關(guān)于我們 - 廣告服務(wù) - 企業(yè)會員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機(jī)EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國際技術(shù)信息咨詢有限公司
          備案 京ICP備12027778號-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();