<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁  資訊  商機   下載  拆解   高校  招聘   雜志  會展  EETV  百科   問答  電路圖  工程師手冊   Datasheet  100例   活動中心  E周刊閱讀   樣片申請
          EEPW首頁 >> 主題列表 >> verilog hdl

          基于FPGA的串行接口SPI的設計與實現(xiàn)

          • SPI 總線是一個同步串行接口的數(shù)據(jù)總線,具有全雙工、信號線少、協(xié)議簡單、傳輸速度快等特點。介紹了SPI 總線的結(jié)構(gòu)和工作原理,對4 種工作模式的異同進行了比較,并著重分析了SPI 總線的工作時序。利用Verilog 硬件描述語言編寫出SPI 總線的主機模塊,經(jīng)ModelSim 仿真得出相應的仿真波形。
          • 關鍵字: SPI  同步串行接口  Verilog  

          HDLC協(xié)議控制器的IP核方案及其實現(xiàn)

          • 介紹了HDLC協(xié)議控制器的IP核方案及實現(xiàn)方法,分別對發(fā)送和接收模塊進行了分析,給出了仿真波形圖。該設計采用Verilog HDL語言進行描述,用ModelSim SE 6.0進行了功能仿真。
          • 關鍵字: IP核  Verilog  HDLC協(xié)議控制器  

          采用Verilog的數(shù)字跑表設計及實驗

          • 本節(jié)通過Verilog HDL語言編寫一個具有“百分秒、秒、分”計時功能的數(shù)字跑表,可以實現(xiàn)一個小時以內(nèi)精確至百分之一秒的計時。
          • 關鍵字: 計數(shù)器  數(shù)字跑表  Verilog  

          FPGA協(xié)處理器實現(xiàn)代碼加速的設計

          • 本文主要研究了代碼加速和代碼轉(zhuǎn)換到硬件協(xié)處理器的方法。我們還分析了通過一個涉及到基于輔助處理器單元(APU)的實際圖像顯示案例的基準數(shù)據(jù)均衡決策的過程。該設計使用了在一個平臺FPGA中實現(xiàn)的一個嵌入式PowerPC。
          • 關鍵字: 協(xié)處理器  代碼加速  HDL  

          基于Verilog HDL的I2C總線功能的實現(xiàn)

          • 簡述了I2C總線的特點;介紹了開發(fā)FPGA時I2C總線模塊的設計思路;給出并解釋了用Verilog HDL實現(xiàn)部分I2C總線功能的程序,以及I2C總線主從模式下的仿真時序圖。
          • 關鍵字: Verilog  I2C  仿真時序  

          基于Verilog的SMBus總線控制器的設計與實現(xiàn)

          • SMBus是一種高效的同步串行總線。通過分析SMBus總線協(xié)議,提出了一種運行于基于PCI-Express技術的橋接芯片上的SMBus控制器的設計方案,并且用Verilog語言描述,最后在Altera公司的FPGA上得以實現(xiàn)。通過仿真測試,證明該方法是穩(wěn)定有效的。
          • 關鍵字: SMBus總線  Verilog  有限狀態(tài)機  

          基于FPGA實現(xiàn)CPCI數(shù)據(jù)通信

          • 本文設計的系統(tǒng)采用PLX公司生產(chǎn)的CPCI協(xié)議轉(zhuǎn)換芯片PCI9054,通過Verilog HDL語言在FPGA中產(chǎn)生相應的控制信號,完成對數(shù)據(jù)的快速讀寫,從而實現(xiàn)了與CPCI總線的高速數(shù)據(jù)通信。
          • 關鍵字: CPCI協(xié)議轉(zhuǎn)換  Verilog  FPGA  

          抗故障攻擊的專用芯片存儲單元設計

          • 在復用檢測和線性校驗碼檢測的基礎上,提出互補存儲、奇偶校驗和漢明碼校驗三種存儲單元的抗故障攻擊防護方案。應用這三種方案,用硬件描述語言Verilog設計了三種抗故障攻擊雙端口RAM存儲器,在Altera 公司的器件EP1C12Q240C8上予以實現(xiàn)。
          • 關鍵字: 漢明碼校驗  存儲單元  Verilog  

          基于Avalon-ST接口幀讀取IP核的設計和應用

          • 研究基于Avalon-ST接口幀讀取的IP核設計應用,通過Avalon-ST接口將外部存儲中不同格式的幀數(shù)據(jù)轉(zhuǎn)化為視頻流輸出。根據(jù)Avalon總線協(xié)議及Avalon-ST視頻協(xié)議研究設計方案,使用Verilog HDL語言對模塊進行硬件設計,并將實現(xiàn)的模塊進行測試。
          • 關鍵字: Avalon-ST  IP核  Verilog  

          基于FPGA和Verilog的LCD控制器設計

          • 本文選用Xilinx公司的SpananIII系列XC3S200器件,利用硬件描述語言Verilog設計了液晶顯示擰制器,實現(xiàn)了替代專用集成電路驅(qū)動控制LCD的作用。
          • 關鍵字: Verilog  液晶顯示擰制器  LCD  

          基于FPGA的3D圖像處理器IP核的實現(xiàn)

          • LCD顯示屏的應用越來越廣,數(shù)量越來越多。LCD顯示屏應用廣泛,無處不在。如家庭各種電器設備。更常見是用于各種公共場合如體育館、廣場等商業(yè)用途。給我們傳遞一種更為直觀、生動的信息。從此我們的生活發(fā)生了巨大改變。巨大的應用巨大的市場帶來了巨大的商機?;贔PGA的LCD顯示的3D影像是為了LCD顯示屏的信息量更多,滿足人需求。
          • 關鍵字: IP核  3D圖像處理器  FPGA  LCD  Verilog  

          基于至簡設計法實現(xiàn)的PWM調(diào)制verilog

          •   一、 功能描述  脈沖寬度調(diào)制(pulse width modelation)簡稱PWM,利用微處理器的數(shù)字輸出來對模擬電路進行控制的一種非常有效的技術,廣泛應用在從測量、通信到功率控制與變換的許多領域中脈沖寬度調(diào)制是利用微處理器的數(shù)字輸出來對模擬電路進行控制的一種非常有效的技術,廣泛應用在從測量、通信到功率控制與變換的許多領域中。  在本章的應用中可以認為PWM就是一種方波。如圖所示:       PWM波形圖  上圖是一個周期為10ms,高電平為
          • 關鍵字: PWM  verilog  

          Verilog HDL硬件描述語言:task和function說明語句的區(qū)別

          • task和function說明語句的區(qū)別task和function說明語句分別用來定義任務和函數(shù)。利用任務和函數(shù)可以把一個很大的程序模塊分解成許多較小的任務和函數(shù)便于理解和調(diào)試。輸入、輸出和總線信號的值可以傳入或傳出任務和函
          • 關鍵字: function  Verilog  task  HDL    

          Verilog HDL設計進階:有限狀態(tài)機的設計原理及其代碼風格

          • 由于Verilog HDL和 VHDL 行為描述用于綜合的歷史還只有短短的幾年,可綜合風格的Verilog HDL 和VHDL的語法只是它們各自語言的一個子集。又由于HDL的可綜合性研究近年來非?;钴S,可綜合子集的國際標準目前尚未最后形
          • 關鍵字: Verilog  HDL  進階  代碼    

          基于FPGA的自適應均衡器的研究與設計

          • 摘要:近年來,自適應均衡技術在通信系統(tǒng)中的應用日益廣泛,利用自適應均衡技術在多徑環(huán)境中可以有效地提高數(shù)字接收機的性能。為了適應寬帶數(shù)字接收機的高速率特點,本文闡述了自適應均衡器的原理并對其進行改進。最
          • 關鍵字: 自適應均衡器  寬帶數(shù)字接收機  FPGA  Verilog HDL  
          共202條 5/14 |‹ « 3 4 5 6 7 8 9 10 11 12 » ›|

          verilog hdl介紹

          Verilog HDL是一種硬件描述語言(HDL:Hardware Discription Language),是一種以文本形式來描述數(shù)字系統(tǒng)硬件的結(jié)構(gòu)和行為的語言,用它可以表示邏輯電路圖、邏輯表達式,還可以表示數(shù)字邏輯系統(tǒng)所完成的邏輯功能。   Verilog HDL和VHDL是目前世界上最流行的兩種硬件描述語言,都是在20世紀80年代中期開發(fā)出來的。前者由Gateway Design Aut [ 查看詳細 ]

          熱門主題

          樹莓派    linux   
          關于我們 - 廣告服務 - 企業(yè)會員服務 - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國際技術信息咨詢有限公司
          備案 京ICP備12027778號-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();