<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁(yè)  資訊  商機(jī)   下載  拆解   高校  招聘   雜志  會(huì)展  EETV  百科   問(wèn)答  電路圖  工程師手冊(cè)   Datasheet  100例   活動(dòng)中心  E周刊閱讀   樣片申請(qǐng)
          EEPW首頁(yè) >> 主題列表 >> verilog-hdl

          零基礎(chǔ)學(xué)FPGA(十)初入江湖之i2c通信

          •   相信學(xué)過(guò)單片機(jī)的同學(xué)對(duì)I2C總線(xiàn)都不陌生吧,今天我們來(lái)學(xué)習(xí)怎么用verilog語(yǔ)言來(lái)實(shí)現(xiàn)它,并在FPGA學(xué)習(xí)版上顯示。   i2c總線(xiàn)在近年來(lái)微電子通信控制領(lǐng)域廣泛采用的一種新型的總線(xiàn)標(biāo)準(zhǔn),他是同步通信的一種特殊方式,具有接口少,控制簡(jiǎn)單,器件封裝形式小,通信速率高等優(yōu)點(diǎn)。在主從通信中,可以有多個(gè)i2c總線(xiàn)器件同時(shí)接到i2c總線(xiàn)上,所有與i2c兼容的器件都有標(biāo)準(zhǔn)的接口,通過(guò)地址來(lái)識(shí)別通信對(duì)象,使他們可以經(jīng)由i2c總線(xiàn)互相直接通信。   i2c總線(xiàn)由兩條線(xiàn)控制,一條時(shí)鐘線(xiàn)SCL,一條數(shù)據(jù)線(xiàn)SDA,這
          • 關(guān)鍵字: FPGA  i2c  verilog  

          【從零開(kāi)始走進(jìn)FPGA】路在何方——Verilog快速入門(mén)

          •   一、關(guān)于HDL   1. HDL簡(jiǎn)介   HDL : Hardware Discription Language 硬件描述語(yǔ)言,即描述FPGA/CPLD內(nèi)部邏輯門(mén)的工作狀態(tài),來(lái)實(shí)現(xiàn)一定電路。   隨著EDA技術(shù)的發(fā)展,使用硬件語(yǔ)言設(shè)計(jì)PLD/FPGA成為一種趨勢(shì)。目前硬件描述語(yǔ)言有VHDL、Verilog、Superlog、System C、Cynlib C++、C Level等。 各種語(yǔ)言有各種優(yōu)勢(shì),根據(jù)業(yè)界應(yīng)用而定。   2. VHDL和Verilog區(qū)別   在業(yè)界,VHDL和Veri
          • 關(guān)鍵字: FPGA  Verilog  

          不同的verilog代碼風(fēng)格看RTL視圖之一

          •   剛開(kāi)始玩CPLD/FPGA開(kāi)發(fā)板的時(shí)候使用的一塊基于EPM240T100的板子,alter的這塊芯片雖說(shuō)功耗小體積小,但是資源還是很小的,你寫(xiě)點(diǎn)稍微復(fù)雜的程序,如果不注意coding style,很容易就溢出了。當(dāng)時(shí)做一個(gè)三位數(shù)的解碼基本就讓我苦死了,對(duì)coding style的重要性也算是有一個(gè)比較深刻的認(rèn)識(shí)了。   后來(lái)因?yàn)橐恢痹谕鎥ilinx的spartan3 xc3s400,這塊芯片資源相當(dāng)豐富,甚至于我在它里面緩存了一幀640*480*3/8BYTE的數(shù)據(jù)都沒(méi)有問(wèn)題(VGA顯示用)。而最近
          • 關(guān)鍵字: FPGA  verilog  RTL  

          解讀verilog代碼的一點(diǎn)經(jīng)驗(yàn)

          •   學(xué)習(xí)FPGA其實(shí)也不算久,開(kāi)始的時(shí)候參考別人的代碼并不多,大多是自己寫(xiě)的,那時(shí)候做時(shí)序邏輯多一些。參加了中嵌的培訓(xùn)班,一個(gè)多月的時(shí)間在熟悉ISE軟件的使用以及verilog語(yǔ)法方面下了苦功,也參考了不少書(shū),算是為自己打下了比較好的基礎(chǔ)。因?yàn)槟菚r(shí)候培訓(xùn)的方向是軟件無(wú)線(xiàn)電方面的,所以做了很多有關(guān)的模塊程序,之前的日志里也發(fā)表了很多,關(guān)鍵是一個(gè)興趣,感覺(jué)仿真后看到自己的一個(gè)個(gè)算法思想得到實(shí)現(xiàn)真有成就感。后來(lái)停了一段時(shí)間,因?yàn)閷?shí)在沒(méi)有比較有意思的活干了。   直到前段時(shí)間開(kāi)始使用SP306的開(kāi)發(fā)板,然后會(huì)參
          • 關(guān)鍵字: FPGA  verilog  

          基于CMOS或CCD圖像傳感器的經(jīng)典設(shè)計(jì)及技術(shù)文獻(xiàn)匯總

          •   圖像傳感器,或稱(chēng)感光元件,是一種將光學(xué)圖像轉(zhuǎn)換成電子信號(hào)的設(shè)備,它被廣泛地應(yīng)用在數(shù)碼相機(jī)和其他電子光學(xué)設(shè)備中。早期的圖像傳感器采用模擬信號(hào),如攝像管(video camera tube)。如今,圖像傳感器主要分為感光耦合元件(charge-coupled device, CCD)和互補(bǔ)式金屬氧化物半導(dǎo)體有源像素傳感器(CMOS Active pixel sensor)兩種。本文介紹基于CMOS或CCD兩種圖像傳感器的應(yīng)用及技術(shù)文獻(xiàn),供大家參考。   基于USB傳輸及CMOS圖像傳感器的指紋識(shí)別儀的實(shí)
          • 關(guān)鍵字: Verilog HDL  QuartusⅡ  VHDL  

          零基礎(chǔ)學(xué)FPGA(八)手把手解析時(shí)序邏輯乘法器代碼

          •   上次看了一下關(guān)于乘法器的Verilog代碼,有幾個(gè)地方一直很迷惑,相信很多初學(xué)者看這段代碼一定跟我當(dāng)初一樣,看得一頭霧水,在網(wǎng)上也有一些網(wǎng)友提問(wèn),說(shuō)這段代碼不好理解,今天小墨同學(xué)就和大家一起來(lái)看一下這段代碼,我會(huì)親自在草稿紙上演算,盡量把過(guò)程寫(xiě)的詳細(xì)些,讓更多的人了解乘法器的設(shè)計(jì)思路。   下面是一段16位乘法器的代碼,大家可以先瀏覽一下,之后我再做詳細(xì)解釋   module mux16(   clk,rst_n,   start,ain,bin,yout,done   );   inpu
          • 關(guān)鍵字: FPGA  Verilog  時(shí)序邏輯  

          【從零開(kāi)始走進(jìn)FPGA】前言:哪些人適合做FPGA開(kāi)發(fā)?

          •   “FPGA目前非?;?,各個(gè)高校也開(kāi)了FPGA的課程,但是FPGA并不是每個(gè)人都適合,F(xiàn)PGA講究的是一個(gè)入道,入什么道,入電子設(shè)計(jì)的道,就是說(shuō),這個(gè)過(guò)程,你得從電子設(shè)計(jì)開(kāi)始,然后再學(xué)FPGA,而不是先從VHDL/Verilog開(kāi)始,直接跳過(guò)數(shù)電模電。這一點(diǎn)非常重要,這涉及到你以后的發(fā)展高度的問(wèn)題。我是過(guò)來(lái)人,我深刻體會(huì)到FPGA與數(shù)電模電的基礎(chǔ)的深層次聯(lián)系。對(duì)于本科生而言,你可以把FPGA當(dāng)作業(yè)余興趣,但不要把它當(dāng)成今后的飯碗,你可以保持這個(gè)興趣直到研究生讀完。從我招聘的情況來(lái)看,做FPG
          • 關(guān)鍵字: FPGA  Verilog  SRAM  

          基于VerilogHDL的FIR數(shù)字濾波器設(shè)計(jì)與仿真

          •   引言   數(shù)字濾波器是語(yǔ)音與圖像處理、模式識(shí)別、雷達(dá)信號(hào)處理、頻譜分析等應(yīng)用中的一種基本的處理部件, 它能滿(mǎn)足波器對(duì)幅度和相位特性的嚴(yán)格要求, 避免模擬濾波器所無(wú)法克服的電壓漂移、溫度漂移和噪聲等問(wèn)題。有限沖激響應(yīng)(FIR)濾波器能在設(shè)計(jì)任意幅頻特性的同時(shí)保證嚴(yán)格的線(xiàn)性相位特性。   1 FIR 數(shù)字濾波器   FIR 濾波器用當(dāng)前和過(guò)去輸入樣值的加權(quán)和來(lái)形成它的輸出, 如下所示的前饋差分方程所描述的。        FIR 濾波器又稱(chēng)為移動(dòng)均值濾波器, 因?yàn)槿魏螘r(shí)間點(diǎn)的輸出
          • 關(guān)鍵字: Verilog  數(shù)字濾波器  

          基于VerilogHDL的FIR數(shù)字濾波器設(shè)計(jì)與仿真

          •   引言   數(shù)字濾波器是語(yǔ)音與圖像處理、模式識(shí)別、雷達(dá)信號(hào)處理、頻譜分析等應(yīng)用中的一種基本的處理部件, 它能滿(mǎn)足波器對(duì)幅度和相位特性的嚴(yán)格要求, 避免模擬濾波器所無(wú)法克服的電壓漂移、溫度漂移和噪聲等問(wèn)題。有限沖激響應(yīng)(FIR)濾波器能在設(shè)計(jì)任意幅頻特性的同時(shí)保證嚴(yán)格的線(xiàn)性相位特性。   1 FIR 數(shù)字濾波器   FIR 濾波器用當(dāng)前和過(guò)去輸入樣值的加權(quán)和來(lái)形成它的輸出, 如下所示的前饋差分方程所描述的。        FIR 濾波器又稱(chēng)為移動(dòng)均值濾波器, 因?yàn)槿魏螘r(shí)間點(diǎn)的輸出
          • 關(guān)鍵字: Verilog  FIR  數(shù)字濾波器  

          零基礎(chǔ)學(xué)FPGA(五)Verilog語(yǔ)法基基礎(chǔ)基礎(chǔ)(下)

          •   9、關(guān)于任務(wù)和函數(shù)的小結(jié),挑幾點(diǎn)重要的說(shuō)一下吧   (1)任務(wù)具有多個(gè)輸入、輸入/輸出和輸出變量,在任務(wù)重可以使用延遲、事件和時(shí)序控制結(jié)構(gòu),在任務(wù)重可以調(diào)用其它任務(wù)和函數(shù)。與任務(wù)不同,函數(shù)具有返回值,而且至少要有一個(gè)輸入變量,而且在函數(shù)中不能使用延遲、事件和時(shí)序控制結(jié)構(gòu),函數(shù)可以條用函數(shù),但是不能調(diào)用任務(wù)。   (2)在聲明函數(shù)時(shí),系統(tǒng)會(huì)自動(dòng)的生成一個(gè)寄存器變量,函數(shù)的返回值通過(guò)這個(gè)寄存器返回到調(diào)用處。   (3)函數(shù)和任務(wù)都包含在設(shè)計(jì)層次中,可以通過(guò)層次名對(duì)他們實(shí)行調(diào)用。這句話(huà)什么意思啊?
          • 關(guān)鍵字: FPGA  Verilog  

          零基礎(chǔ)學(xué)FPGA(四)Verilog語(yǔ)法基基礎(chǔ)基礎(chǔ)(中)

          •   我們接著上篇文章繼續(xù)學(xué)習(xí),上次提到了兩種賦值語(yǔ)句,讓我們接著往下學(xué)。   1、塊語(yǔ)句   塊語(yǔ)句包括兩種,一個(gè)是順序塊,一個(gè)是并行塊。   (1)順序快   順序快就好比C語(yǔ)言里的大括號(hào)“{ }”,在Verilog語(yǔ)法中,用begin…end代替。這里只需要知道,在begin…end中間的語(yǔ)句是順序執(zhí)行的就行了。   (2)并行塊   并行塊可以算是一個(gè)新的知識(shí)點(diǎn),與順序塊最大的不同就是并行塊中的語(yǔ)句是同時(shí)開(kāi)始執(zhí)行的,要想控制語(yǔ)句的先后順
          • 關(guān)鍵字: FPGA  Verilog  

          零基礎(chǔ)學(xué)FPGA(三)Verilog語(yǔ)法基基礎(chǔ)基礎(chǔ)(上)

          •   這幾天復(fù)習(xí)了一下Verilog的語(yǔ)法知識(shí),就借此寫(xiě)寫(xiě)我對(duì)這些東西的想法吧。感覺(jué)呢,是和C語(yǔ)言差不多,具有C語(yǔ)言基礎(chǔ)的朋友學(xué)起來(lái)應(yīng)該沒(méi)什么問(wèn)題,和C語(yǔ)言相同的地方就不說(shuō)了吧,重點(diǎn)說(shuō)一下不同點(diǎn)吧。   1、模塊的結(jié)構(gòu)   模塊呢,是Verilog的基本設(shè)計(jì)單元,它主要是由兩部分組成,一個(gè)是接口,另一個(gè)是邏輯。下面舉一個(gè)小例子說(shuō)明一下:   module xiaomo (a,b,c,d);   input a,b;   output c,d;   assign c=a|b;   assign
          • 關(guān)鍵字: FPGA  Verilog   

          淺淡邏輯設(shè)計(jì)的學(xué)習(xí)(二)

          •   入門(mén)前   剛才開(kāi)始接觸邏輯設(shè)計(jì)很多人會(huì)覺(jué)得很簡(jiǎn)單:因?yàn)関erilog的語(yǔ)法不多,半天就可以把書(shū)看完了。但是很快許多人就發(fā)現(xiàn)這個(gè)想法是錯(cuò)誤的,他們經(jīng)常埋怨綜合器怎么和自己的想法差別這么大:它竟然連用for循環(huán)寫(xiě)的一個(gè)計(jì)數(shù)器都不認(rèn)識(shí)!   相信上一段的經(jīng)歷大部分人都曾有,原因是做邏輯設(shè)計(jì)的思維和做軟件的很不相同,我們需要從電路的角度去考慮問(wèn)題。   在這個(gè)過(guò)程中首先要明白的是軟件設(shè)計(jì)和邏輯設(shè)計(jì)的不同,并理解什么是硬件意識(shí)。   軟件代碼的執(zhí)行是一個(gè)順序的過(guò)程,編繹以后的機(jī)器碼放在存儲(chǔ)器里,等著C
          • 關(guān)鍵字: 邏輯設(shè)計(jì)  verilog  D觸發(fā)器  

          基于Verilog HDL的SPWM全數(shù)字算法的FPGA實(shí)現(xiàn)

          •   隨著信號(hào)處理技術(shù)及集成電路制造工藝的不斷發(fā)展,全數(shù)字化SPWM(正弦脈寬調(diào)制)算法在調(diào)速領(lǐng)域越來(lái)越受到青睞。實(shí)現(xiàn)SPWM控制算法的方法很多,其中模擬比較法因電路復(fù)雜、且不易與數(shù)字系統(tǒng)連接而很少采用;傳統(tǒng)的微處理器因不能滿(mǎn)足電機(jī)控制所要求的較高采樣頻率(≥1 kHz)而逐漸被高性能的DSP硬件系統(tǒng)所取代,但該系統(tǒng)成本高、設(shè)計(jì)復(fù)雜。與傳統(tǒng)方法相比,在現(xiàn)場(chǎng)可編程邏輯器件FPGA上產(chǎn)生一種新的SPWM控制算法,具有成本低、研發(fā)周期短、執(zhí)行速度高、可擴(kuò)展能力強(qiáng)等優(yōu)點(diǎn)。該技術(shù)進(jìn)一步推動(dòng)了變頻調(diào)速技術(shù)的發(fā)展。
          • 關(guān)鍵字: Verilog HDL  SPWM  FPGA  

          ChipDesign ISE 11 設(shè)計(jì)工具視點(diǎn)

          • ?  作為一個(gè)負(fù)責(zé)FPGA?企業(yè)市場(chǎng)營(yíng)銷(xiāo)團(tuán)隊(duì)工作的人,我不得不說(shuō),由于在工藝技術(shù)方面的顯著成就以及硅芯片設(shè)計(jì)領(lǐng)域的獨(dú)創(chuàng)性,F(xiàn)PGA?正不斷實(shí)現(xiàn)其支持片上系統(tǒng)設(shè)計(jì)的承諾。隨著每一代新產(chǎn)品的推出,F(xiàn)PGA?在系統(tǒng)中具有越來(lái)來(lái)越多的功能,可作為協(xié)處理器、DSP?引擎以及通信平臺(tái)等,在某些應(yīng)用領(lǐng)域甚至還可用作完整的片上系統(tǒng)?! ∫虼?,在摩爾定律的作用下,F(xiàn)PGA?產(chǎn)業(yè)的門(mén)數(shù)量不斷增加,性能與專(zhuān)門(mén)功能逐漸加強(qiáng),使得?FPGA?在電子系統(tǒng)
          • 關(guān)鍵字: xilinx  FPGA  VHDL  Verilog  
          共202條 7/14 |‹ « 5 6 7 8 9 10 11 12 13 14 »

          verilog-hdl介紹

          您好,目前還沒(méi)有人創(chuàng)建詞條verilog-hdl!
          歡迎您創(chuàng)建該詞條,闡述對(duì)verilog-hdl的理解,并與今后在此搜索verilog-hdl的朋友們分享。    創(chuàng)建詞條

          熱門(mén)主題

          Verilog-Hdl    樹(shù)莓派    linux   
          關(guān)于我們 - 廣告服務(wù) - 企業(yè)會(huì)員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機(jī)EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國(guó)際技術(shù)信息咨詢(xún)有限公司
          備案 京ICP備12027778號(hào)-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();