<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁  資訊  商機   下載  拆解   高校  招聘   雜志  會展  EETV  百科   問答  電路圖  工程師手冊   Datasheet  100例   活動中心  E周刊閱讀   樣片申請
          EEPW首頁 >> 主題列表 >> xilinx fpga

          認知無線電中的寬帶頻譜感知技術(shù)的FPGA實現(xiàn)

          •   軟件無線電的出現(xiàn),是無線電通信從模擬到數(shù)字、從固定到移動后,由硬件到軟件的第三次變革。簡單地說,軟件無線電就是一種基于通用硬件平臺,并通 過軟件可提供多種服務的、適應多種標準的、多頻帶多模式的、可重構(gòu)可編程的無線電系統(tǒng)。軟件無線電的關(guān)鍵思想是,將AD(DA)盡可能靠近天線和用軟件來 完成盡可能多的無線電功能。   蜂窩移動通信系統(tǒng)已經(jīng)發(fā)展到第三代,3G系統(tǒng)進入商業(yè)運行一方面需要解決不同標準的系統(tǒng)間的兼容性;另一方 面要求系統(tǒng)具有高度的靈活性和擴展升級能力,軟件無線電技術(shù)無疑是最好的解決方案。用ASI
          • 關(guān)鍵字: 無線電  FPGA  

          10個心率監(jiān)控裝置設計方案,包括電路圖原理圖等

          •   心率監(jiān)控器是一款用于監(jiān)測人體心跳速率的器件。心率的單位是bpm(每分鐘心跳數(shù))。人體的心跳速率根據(jù)其日常身體活動、睡眠和基本健康狀況的不同而有所差別。本文為大家介紹幾種心率計及心率監(jiān)測系統(tǒng)的設計,供大家使用參考。   基于EFM32TG840的便攜式心率計的設計方案   在消費電子領(lǐng)域,便攜式電子產(chǎn)品由于體積小、質(zhì)量輕的特點越來越受到消費者的喜愛,已成為人們生活中不可缺少的部分?;谶@個思路,我們設計了一款便攜式心率計,它可以替代用脈搏聽診器等進行測量的傳統(tǒng)方法,使用非常方便。   一種便攜式單
          • 關(guān)鍵字: FPGA  VHDL  

          基于FPGA的數(shù)字式心率計系統(tǒng)的設計實現(xiàn)

          •   心率計是常用的醫(yī)學檢查設備,實時準確的心率測量在病人監(jiān)控、臨床治療及體育競賽等方面都有著廣泛的應用。心率測量包括瞬時心率測量和平均心率測量。瞬時心率不僅能夠反映心率的快慢。同時能反映心率是否勻齊;平均心率雖只能反映心率的快慢,但記錄方便,因此這兩個參數(shù)在測量時都是必要的。   測量心率有模擬和數(shù)字兩種方法。模擬方法是在給定的時間間隔內(nèi)計算R波(或脈搏波)的脈沖個數(shù),然后將脈沖計數(shù)乘以一個適當?shù)某?shù)測量心率的。這種方法的缺點是測量誤差較大、元件參數(shù)調(diào)試困難、可靠性差。數(shù)字方法是先測量相鄰R波之間的時間
          • 關(guān)鍵字: FPGA  心率計  

          SDSoC使Xilinx嵌入式處理器大降門檻

          •   最近,Xilinx發(fā)布了面向其嵌入式處理器Zynq的SDSoC開發(fā)環(huán)境(http://www.ex-cimer.com/article/270768.htm),目的是使不懂硬件的系統(tǒng)架構(gòu)師和軟件架構(gòu)師也能直接使用Zynq,在Zynq上用C/C++編程。而過去,只能通過HDL(高層次語言)的RTL(寄存器傳輸級)語言來編程,一般的軟件工程師很難掌握。   為了展示SDSoC的強大性,Zynq業(yè)務發(fā)展經(jīng)理羅霖(下圖)向大家演示了軟件開發(fā)便捷性,只見不到十分鐘,羅霖就編好了一款視頻程序,并沖著攝像頭揮手
          • 關(guān)鍵字: Xilinx  SDSoC  

          小梅哥和你一起深入學習FPGA之點亮LED燈(下)

          •   七、 測試平臺設計   本實驗主要對LED的輸出和輸入與復位的關(guān)系進行測試仿真,通過仿真,即可驗證設計的正確性和合理性。相關(guān)testbench的代碼如下:   以下是代碼片段:   `timescale 1ns/1ns   module LED_Driver_tb;   reg Rst_n;   reg [3:0] Sig;   wire [3:0] Led;   LED_Driver   #( /*參數(shù)例化*/   .Width (4)   )   LED_Driver_in
          • 關(guān)鍵字: FPGA  LED  

          小梅哥和你一起深入學習FPGA之點亮LED燈(上)

          •   在之前更新的目錄里面,并沒有安排這個實驗,第一個實驗應該是獨立按鍵的檢測與消抖??墒牵斝∶犯鐏碜霭存I消抖的實驗時,才發(fā)現(xiàn)沒有做基本的輸出設備,因此按鍵檢測的結(jié)果無法直觀的展示出來。也算是為后續(xù)實驗做鋪墊吧,第一個實驗就安排成了點亮LED燈。   一、 實驗目的   實現(xiàn)4個LED燈的亮滅控制   二、 實驗原理   LED燈的典型電路如下2-1所示,我們控制led燈的亮滅,實質(zhì)就是去控制FPGA的IO輸給LED負極一個低電平或者高電平。從圖中可知,我們給對應的led負極上一個低電平,就會有對
          • 關(guān)鍵字: FPGA  LED  

          僅有16nm還不夠,Xilinx在下一代FPGA/SoC中加入多種猛料

          •   2月底,Xilinx發(fā)布了下一代16nm產(chǎn)品特點的新聞:《Xilinx憑借新型存儲器、3D-on-3D 和多處理SoC技術(shù)在16nm繼續(xù)遙遙領(lǐng)先》(http://www.ex-cimer.com/article/270122.htm),大意是說,Xilinx新的16nm FPGA和SoC中,將會采用新型存儲器UltraRAM, 3D晶體管(FinFET)和3D封裝,Zynq會出多處理器產(chǎn)品MPSoC,因此繼28nm和20nm之后,繼續(xù)在行業(yè)中保持領(lǐng)先,打破了業(yè)內(nèi)這樣的規(guī)則:Xilinx和競爭對手在工藝上
          • 關(guān)鍵字: Xilinx  FPGA  

          電能質(zhì)量檢測與監(jiān)測分析終端設計匯總

          •   電能質(zhì)量即電力系統(tǒng)中電能的質(zhì)量。理想的電能應該是完美對稱的正弦波。一些因素會使波形偏離對稱正弦,由此便產(chǎn)生了電能質(zhì)量問題。一方面我們研究存在哪些影響因素會導致電能質(zhì)量問題,一方面我們研究這些因素會導致哪些方面的問題,最后,我們要研究如何消除這些因素,從而最大程度上使電能接近正弦波。本文為您介紹電能質(zhì)量的檢測與分析儀器設計匯總。   基于STM32和ATT7022C的電能質(zhì)量監(jiān)測終端的設計   本文以ARM STM32F103VE6和電表芯片ATT7022C為主構(gòu)建了電能質(zhì)量監(jiān)測終端,利用電表芯片A
          • 關(guān)鍵字: ARM  FPGA  NiosⅡ  

          采用Nios的電能質(zhì)量監(jiān)測系統(tǒng)解決方案

          •   在電力系統(tǒng)中,要實現(xiàn)對電能質(zhì)量各項參數(shù)的實時監(jiān)測和記錄,必須對電能進行高速的采集和處理,尤其是針對電能質(zhì)量的各次諧波的分析和運算,系統(tǒng)要完成大量運算處理工作,同時系統(tǒng)還要實現(xiàn)和外部系統(tǒng)的通信、控制、人機接口等功能。而電能質(zhì)量監(jiān)測系統(tǒng)大多以微控制器或(與)DSP為核心的軟硬件平臺結(jié)構(gòu)以及相應的設計開發(fā)模式,存在著處理能力不足、可靠性差、更新?lián)Q代困難等弊端。本文將SoPC技術(shù)應用到電力領(lǐng)域,在FPGA中嵌入了32位NiosⅡ軟核系統(tǒng)。可實現(xiàn)對電能信號的采集、處理、存儲與顯示等功能,實現(xiàn)了實時系統(tǒng)的要求。
          • 關(guān)鍵字: FPGA  NiosⅡ  

          電能質(zhì)量監(jiān)測系統(tǒng)信號采集模塊控制器IP核設計

          •   隨著可編程邏輯器件的不斷進步和發(fā)展,F(xiàn)PGA在嵌入式系統(tǒng)中發(fā)揮著越來越重要的作用。本文介紹的在電能質(zhì)量監(jiān)測系統(tǒng)中信號采集模塊控制器的 IP核,是采用硬件描述語言來實現(xiàn)的。首先它是以ADS8364芯片為控制對象,結(jié)合實際電路,將6通道同步采樣的16位數(shù)據(jù)存儲到FIFO控制器。當FIFO 控制器存儲一個周期的數(shù)據(jù)后,產(chǎn)生一個中斷信號,由PowerPC對其進行高速讀取。這樣能夠減輕CPU的負擔,不需要頻繁地對6通道的采樣數(shù)據(jù)進行讀取,節(jié)省了CPU運算資源。   1 ADS8364芯片的原理與具體應用  
          • 關(guān)鍵字: FPGA  信號采集  

          Xilinx將推出16nm的FPGA和SoC,融合存儲器、3D-on-3D和多處理SoC技術(shù)

          •   賽靈思公司 (Xilinx+)日前宣布,其16nm UltraScale+? 系列FPGA、3D IC和MPSoC憑借新型存儲器、3D-on-3D和多處理SoC(MPSoC)技術(shù),再次實現(xiàn)了領(lǐng)先的價值優(yōu)勢。此外,為實現(xiàn)更高的性能和集成度,UltraScale+系列還采用了全新的互聯(lián)優(yōu)化技術(shù)——SmartConnect。這些新的器件進一步擴展了賽靈思的UltraScale產(chǎn)品系列 (現(xiàn)從20nm 跨越至 16nm FPGA、SoC 和3D IC器件),同時利用臺積電公
          • 關(guān)鍵字: 賽靈思  FPGA  SoC  UltraScale  201503  

          京微雅格將在 2015慕尼黑上海電子展演示多領(lǐng)域FPGA應用方案

          • ?????? 京微雅格(北京)科技有限公司(以下簡稱“京微雅格”)宣布將參加 3月 17 日至 19 日在上海舉行的 2015慕尼黑上海電子展?;顒悠陂g,京微雅格將展示其FPGA產(chǎn)品在多個市場領(lǐng)域的應用方案,包括消費電子、智能家居、金融安全、機器人、物聯(lián)網(wǎng)、汽車電子等。京微雅格展位號為半導體E3館3646,誠邀您蒞臨參觀。    ?   圖一:將在慕尼黑上海電子展期間現(xiàn)場展示的部分已量產(chǎn)芯片   FP
          • 關(guān)鍵字: 京微雅格  FPGA  

          小梅哥和你一起深入學習FPGA之實驗目錄

          •   注:帶“ * ”的表示選做,實際中根據(jù)時間和精力決定   基本外設的驅(qū)動開發(fā):?   1. 獨立按鍵消抖檢測電路模塊;   2. 4*4矩陣鍵盤消抖檢測電路模塊;   3. 7段8位數(shù)碼管驅(qū)動電路模塊;(直接FPGA驅(qū)動 和 外加74hc138譯碼器)   4. 二進制轉(zhuǎn)BCD碼模塊設計;   5. uart串口收發(fā)電路模塊;(verilog 和 VHDL)   6. IIC驅(qū)動電路模塊;(暫時沒定,會給出個24L64的驅(qū)動,也會開發(fā)一個傳感器驅(qū)動)   7.
          • 關(guān)鍵字: FPGA  串口  

          小梅哥和你一起深入學習FPGA之規(guī)范約定

          •   本規(guī)范主要是對設計流程、端口名稱、組織結(jié)構(gòu)、文檔編排進行約定。本約定作用僅僅是為了使后期代碼設計和文檔編寫更加規(guī)范有序,方便自己和讀者閱讀,與公司的設計規(guī)范還差著十萬八千里,因此,望大家萬不可以小梅哥的規(guī)范作為標準。當然,小梅哥在規(guī)范約定時,也會盡量參考華為verilog規(guī)范和至芯科技的文檔編寫規(guī)范力爭做到簡潔通俗。   規(guī)范約定之設計文檔基本結(jié)構(gòu)   為了將設計能夠清晰明了的介紹給大家,讓大家一看就懂,文檔編寫時會詳細包含以下內(nèi)容:   一、 實驗目的   二、 實驗原理   三、 硬件設
          • 關(guān)鍵字: FPGA  狀態(tài)機  

          Xilinx與Xylon聯(lián)合發(fā)布2D/3D環(huán)視系統(tǒng)自動多攝像頭圖形拼接IP

          • 2015年3月6日,中國北京 - All Programmable 技術(shù)和器件的全球領(lǐng)先企業(yè)賽靈思公司(Xilinx, Inc. (NASDAQ:XLNX))攜手Xylon公司今天共同宣布推出最新面向2D/3D環(huán)視系統(tǒng)的業(yè)界領(lǐng)先的自動多攝像頭圖形拼接IP。采用logiOWL IP的Automotive logiADAK 3.0 高級駕駛員輔助開發(fā)套件,能幫助一級汽車電子供應商和OEM生產(chǎn)商在數(shù)秒內(nèi)精確完成整車多攝像頭校準。 logiADAK 3.0汽車駕駛員輔助套件中的新型logiOWL IP基于Zynq
          • 關(guān)鍵字: Xilinx  Xylon  駕駛員輔助    
          共6755條 135/451 |‹ « 133 134 135 136 137 138 139 140 141 142 » ›|

          xilinx fpga介紹

            Xilinx FPGA   Xilinx FPGA主要分為兩大類,一種側(cè)重低成本應用,容量中等,性能可以滿足一般的邏輯設計要求,如Spartan系列;還有一種側(cè)重于高性能應用,容量大,性能能滿足各類高端應用,如Virtex系列,用戶可以根據(jù)自己實際應用要求進行選擇。 在性能可以滿足的情況下,優(yōu)先選擇低成本器件。   Xilinx FPGA可編程邏輯解決方案縮短了電子設備制造商開發(fā)產(chǎn)品的時間 [ 查看詳細 ]

          熱門主題

          樹莓派    linux   
          關(guān)于我們 - 廣告服務 - 企業(yè)會員服務 - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國際技術(shù)信息咨詢有限公司
          備案 京ICP備12027778號-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();