<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁  資訊  商機   下載  拆解   高校  招聘   雜志  會展  EETV  百科   問答  電路圖  工程師手冊   Datasheet  100例   活動中心  E周刊閱讀   樣片申請
          EEPW首頁 >> 主題列表 >> xilinx fpga

          【從零開始走進FPGA】 基于PLD的矩陣鍵盤狀態(tài)機控制

          •   講過了獨立按鍵檢測,理所當然應該講講FPGA中矩陣鍵盤的應用了。這個思維和電路在FPGA中有所不同,在此,在此做詳細解釋,Bingo用自己設計的成熟的代碼作為案例,希望對你有用。   一、FPGA矩陣鍵盤電路圖   在FPGA中的電路,與單片機雷同,如下所示:    ?   在上電默認情況下,L[3:0] =4''b1,因為上拉了3.3V,而默認情況下H.[3:0]為低電平;一旦有某一個按鍵被按下,便是是的該路電路流向該按鍵的H,是的L檢測不到電流。因此可以通過對每一行H輸出的
          • 關鍵字: FPGA  PLD  

          零基礎學FPGA(十六)testbench很重要,前仿真全過程筆記(下篇)

          •   進入波形仿真后點擊運行按鈕即可出波形,下面我們來驗證我們的cpu代碼是否正確   大家先看兩個圖,等會小墨同學會結合這兩個圖給大家細細講解仿真過程    ?    ?    ?   我們先來看第一個過程    ?   上電后,cpu先從ROM中讀回兩個周期的數(shù)據(jù),是從ROM的0地址開始的,再對比我們之前定義好的ROM,數(shù)據(jù)讀取正確,讀回的數(shù)據(jù)的前三位是111,即指令碼JMP,后13位003c為地址碼,JMP指令是將讀回的數(shù)據(jù)
          • 關鍵字: FPGA  testbench  

          Xilinx:FPGA和SoC顛覆傳統(tǒng)控制

          •   FPGA的特點是擅長做信號的并行處理和硬件加速。Xilinx亞太區(qū)Zynq業(yè)務發(fā)展經(jīng)理羅霖認為,在電機方面,由于現(xiàn)在中高端的機器人、數(shù)控機床等會用到六軸及以上的電機,這方面基本是FPGA一統(tǒng)天下。而三軸、四軸方案有時會看到x86、DSP和FPGA方案并存。   圖1 機器人的智能控制示意圖   中國現(xiàn)在四軸方案多一些,但是未來會向中高端去做。因為現(xiàn)在中國的電子元器件加工,食品飲料生產(chǎn)線、汽車生產(chǎn)線還主要靠采購國外設備,未來會逐漸國產(chǎn)化。   “針對工廠自動化設備、高端數(shù)控機床、機
          • 關鍵字: Xilinx  FPGA  

          基于FPGA的短波通信接收機

          •   短波通信又稱高頻通信,是利用HF波段(3-30MHz)電磁波進行的無線電通信。短波通信主要靠天波傳播,可經(jīng)電離層一次或數(shù)次反射,最遠可傳至上萬里,如按氣候、電離層的電子密度和高度的日變化以及通信距離等因素選擇合適頻率,就可用較小功率進行遠距離通信。短波通信設備較簡單,機動性大,因此也適應于應急通信和抗災通信?,F(xiàn)代短波通信接收機正向著數(shù)字化、大通信帶寬方向發(fā)展。文獻[1-3]研究了短波通信的數(shù)字化實現(xiàn)方式,但其未對短波通信的大帶寬應用進行探討;文獻[4-6]研究了通信信道化算法,其對一定帶寬內(nèi)的多信道高
          • 關鍵字: FPGA  接收機  

          FPGA的FIR抽取濾波器設計

          •   用FPGA實現(xiàn)抽取濾波器比較復雜,主要是因為在FPGA中缺乏實現(xiàn)乘法運算的有效結構,現(xiàn)在,F(xiàn)PGA中集成了硬件乘法器,使FPGA在數(shù)字信號處理方面有了長足的進步。本文介紹了一種采用Xilinx公司的XC2V1000實現(xiàn)FIR抽取濾波器的設計方法。   具體實現(xiàn)   結構設計   基于抽取濾波器的工作原理,本文采用XC2V1000實現(xiàn)了一個抽取率為2、具有線性相位的3階FIR抽取濾波器,利用原理圖和VHDL共同完成源文件設 計。圖1是抽取濾波器的頂層原理圖。其中,clock是工作時鐘,reset是
          • 關鍵字: FPGA  FIR  

          FPGA四大設計要點解析及應用方案集錦

          •   本文敘述概括了FPGA應用設計中的要點,包括,時鐘樹、FSM、latch、邏輯仿真四個部分。   FPGA的用處比我們平時想象的用處更廣泛,原因在于其中集成的模塊種類更多,而不僅僅是原來的簡單邏輯單元(LE)。早期的FPGA相對比較簡單,所有的功能單元僅僅由管腳、內(nèi)部buffer、LE、RAM構建而成,LE由LUT(查找表)和D觸發(fā)器構成,RAM也往往容量非常小?,F(xiàn)在的FPGA不僅包含以前的LE,RAM也更大更快更靈活,管教IOB也更加的復雜,支持的IO類型也更多,而且內(nèi)部還集成了一些特殊功能單元,
          • 關鍵字: FPGA  FSM  時鐘樹  仿真  

          【從零開始走進FPGA】非同于MCU的獨立按鍵消抖動

          •  進入電子,無處不用到按鍵, FPGA中的按鍵消抖動更是非同一般,并針對不同情況有相應的對策。
          • 關鍵字: FPGA  MCU  按鍵消抖  

          權威調(diào)查(七):軟件是促成更多客戶使用FPGA的原因

          •   Xilinx亞太區(qū)銷售及市場副總裁楊飛在2014歲末如此總結:以前FPGA廠商的目標是填補ASIC和ASSP空白,現(xiàn)在我們說取代ASIC、ASSP,而我們現(xiàn)在要做的就是,不僅是硬件,還有軟件方面,讓系統(tǒng)級的架構工程師和軟件編程工程師也能夠直接使用FPGA?! ilinx亞太區(qū)銷售及市場副總裁楊飛  因為FPGA到今天為止,從來不乏風險投資公司的介入,但是三十多年以來,初創(chuàng)企業(yè)總是做不成、長不大,根本原因和最大挑戰(zhàn)不是說FPGA公司是硬件公司,因為我們根本是一家搞軟件的公司。FPGA就是把硬件變成軟件
          • 關鍵字: Xilinx  FPGA  NI  

          在使用CNN算法的云數(shù)據(jù)中心,Altera FPGA實現(xiàn)的加速功能具有優(yōu)異的每瓦性能

          •   Altera公司今天宣布,微軟采用Altera Arria® 10 FPGA (現(xiàn)場可編程門陣列)實現(xiàn)基于CNN (卷積神經(jīng)網(wǎng)絡)算法的數(shù)據(jù)中心加速功能,其每瓦性能非常優(yōu)異。這些算法通常用于圖像分類、圖像識別,以及自然語言處理等。   微軟研究人員在云技術上不斷取得進展,采用Arria 10開發(fā)套件和Arria 10 FPGA工程樣片,展示了每瓦40 GFLOPS的性能——數(shù)據(jù)中心業(yè)界最好的性能水平。而且,與GPGPU相比,在CNN平臺上,這一FPGA的性能功耗比是C
          • 關鍵字: Altera  FPGA  

          一種面向云架構的高性能網(wǎng)絡接口實現(xiàn)技術

          •   0概述   在傳統(tǒng)的電信IT產(chǎn)品中,高性能網(wǎng)絡接口一般采用特殊的硬件模塊來實現(xiàn),比如網(wǎng)絡處理器、ASIC、FPGA等等。這些特殊硬件模塊一般會采用特殊的架構和指令集對網(wǎng)絡數(shù)據(jù)收發(fā)過程進行優(yōu)化以達到更好的性能。然而,這也相應使得開發(fā)和維護這些模塊的成本非常的昂貴,同時還有一個無法解決的問題是基于這些特殊硬件模塊實現(xiàn)的網(wǎng)絡接口不能移植到云中,因為它們跟硬件的耦合度太高了。摩爾定律的出現(xiàn),使得通用處理器的性能得到了極大的提升,這也為基于通用處理器實現(xiàn)高性能網(wǎng)絡接口提供了可能,同時也為移植到云中提供了前提條
          • 關鍵字: 網(wǎng)絡接口  FPGA  

          Altera宣布通過與Mentor Graphics合作,推出業(yè)界領先的SoC FPGA系列產(chǎn)品虛擬原型

          •   Altera公司今天宣布,與Mentor Graphics合作為嵌入式軟件開發(fā)人員提供同類最佳的Vista®虛擬平臺,它支持Altera全系列SoC FPGA,包括具有64位四核ARM® Cortex-A53處理器的第三代14 nm Stratix® 10 SoC。這些先進的SoC虛擬平臺加速了整個產(chǎn)品生命周期中嵌入式軟件的開發(fā),顯著縮短了產(chǎn)品面市時間,同時降低了成本。   Mentor Graphics Vista SoC虛擬平臺是經(jīng)過預先開發(fā)的全功能ARM處理器子系統(tǒng)仿真
          • 關鍵字: Altera  Mentor Graphics  FPGA  

          Altera發(fā)售20 nm SoC

          •   Altera公司今天開始發(fā)售其第二代SoC系列,進一步鞏固了在SoC FPGA產(chǎn)品上的領先地位。Arria? 10 SoC是業(yè)界唯一在20 nm FPGA架構上結合了ARM?處理器的可編程器件。與前一代SoC FPGA相比,Arria 10 SoC進行了全面的改進,支持實現(xiàn)性能更好、功耗更低、功能更豐富的嵌入式系統(tǒng)。Altera將在德國紐倫堡舉行的嵌入式世界2015大會上展示其基于SoC的解決方案,包括業(yè)界唯一的20 nm SoC FPGA。   Altera的SoC產(chǎn)品市場資深總監(jiān)
          • 關鍵字: Altera  SoC  FPGA  

          工程師分享:如何正確選擇電源模塊?

          •   也許你常常會發(fā)現(xiàn)自己面臨相當緊張的項目最后期限要求。舉例來說,你的經(jīng)理剛給你布置了為一個新電信系統(tǒng)設計電源的任務。設計從在FPGA上實現(xiàn)的概念證明開始,現(xiàn)在到了必須創(chuàng)造電源的時候。一個隔離式電源模塊提供12V電源,為先進的ASIC、微控制器、FPGA和各種其他元件供電。一如既往,這些元件實際上充滿了電路板的空間,提供充分的電力、穩(wěn)定性、熱性能、低噪聲及可靠性需要挑戰(zhàn)物理定律。而你只有一個星期時間來創(chuàng)造這個電源。(嘆息)沒錯,就是這樣,好戲開場了!   由于ASIC、微控制器和FPGA的大電流要求,你
          • 關鍵字: FPGA  電源  

          FPGA在數(shù)字信號處理中的簡單應用

          •   數(shù)字信號處理技術已經(jīng)成功運用于信號地濾波、語音、圖像、音頻、信息系統(tǒng)、控制和儀表設備??删幊虜?shù)字信號處理器在20 世紀70 年代地引入更是使DSP 技術突飛猛進,取得巨大成功,這些PDSP 都是基于精簡指令集(RISC)計算機范例的架構。它的優(yōu)勢源于大多說信號處理算法的乘-累加運算(MAC)都是非常密集的。通過多級流水線架構,PDSP 可以獲得僅受陣列乘法器的速度限制的MAC 速度。由此可以認為FPGA 也能夠用來實現(xiàn)MAC 單元,且具有速度優(yōu)勢,但是,如果PDSP 能夠滿足所需要的MAC 速度,那么
          • 關鍵字: FPGA  信號處理  

          FPGA四大設計要點解析

          •   本文敘述概括了FPGA應用設計中的要點,包括,時鐘樹、FSM、latch、邏輯仿真四個部分。   FPGA的用處比我們平時想象的用處更廣泛,原因在于其中集成的模塊種類更多,而不僅僅是原來的簡單邏輯單元(LE)。   早期的FPGA相對比較簡單,所有的功能單元僅僅由管腳、內(nèi)部buffer、LE、RAM構建而成,LE由LUT(查找表)和D觸發(fā)器構成,RAM也往往容量非常小。   現(xiàn)在的FPGA不僅包含以前的LE,RAM也更大更快更靈活,管教IOB也更加的復雜,支持的IO類型也更多,而且內(nèi)部還集成了一
          • 關鍵字: FPGA  Testbench  
          共6755條 136/451 |‹ « 134 135 136 137 138 139 140 141 142 143 » ›|

          xilinx fpga介紹

            Xilinx FPGA   Xilinx FPGA主要分為兩大類,一種側重低成本應用,容量中等,性能可以滿足一般的邏輯設計要求,如Spartan系列;還有一種側重于高性能應用,容量大,性能能滿足各類高端應用,如Virtex系列,用戶可以根據(jù)自己實際應用要求進行選擇。 在性能可以滿足的情況下,優(yōu)先選擇低成本器件。   Xilinx FPGA可編程邏輯解決方案縮短了電子設備制造商開發(fā)產(chǎn)品的時間 [ 查看詳細 ]

          熱門主題

          樹莓派    linux   
          關于我們 - 廣告服務 - 企業(yè)會員服務 - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產(chǎn)品世界》雜志社 版權所有 北京東曉國際技術信息咨詢有限公司
          備案 京ICP備12027778號-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();