<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁(yè)  資訊  商機(jī)   下載  拆解   高校  招聘   雜志  會(huì)展  EETV  百科   問(wèn)答  電路圖  工程師手冊(cè)   Datasheet  100例   活動(dòng)中心  E周刊閱讀   樣片申請(qǐng)
          EEPW首頁(yè) >> 主題列表 >> xilinx fpga

          ASIC設(shè)計(jì)轉(zhuǎn)FPGA時(shí)需要注意的幾點(diǎn)

          • ASIC設(shè)計(jì)轉(zhuǎn)FPGA時(shí)需要注意的幾點(diǎn)-FPGA原型驗(yàn)證和其他驗(yàn)證方法是不同的,任何一種其他驗(yàn)證方法都是ASIC驗(yàn)證中的一個(gè)環(huán)節(jié),而FPGA驗(yàn)證卻是一個(gè)過(guò)程。
          • 關(guān)鍵字: FPGA  

          FPGA開(kāi)發(fā)基礎(chǔ)知識(shí)問(wèn)答

          • FPGA開(kāi)發(fā)基礎(chǔ)知識(shí)問(wèn)答-首先要將安裝的ModelSim目錄下的ModelSim.ini屬性設(shè)置為存檔類型(去掉只讀)
          • 關(guān)鍵字: FPGA  

          FPGA開(kāi)發(fā)要掌握的六大基礎(chǔ)知識(shí)(3)

          • FPGA開(kāi)發(fā)要掌握的六大基礎(chǔ)知識(shí)(3)-Xilinx FPGA開(kāi)發(fā)軟件為ISE.現(xiàn)在其版本更新比較快,大家現(xiàn)在常用的版本都在ISE12.1了。
          • 關(guān)鍵字: FPGA  賽靈思  Xilinx  

          影響FPGA設(shè)計(jì)周期生產(chǎn)力的最大因素是什么?

          • 影響FPGA設(shè)計(jì)周期生產(chǎn)力的最大因素是什么?-提高FPGA設(shè)計(jì)生產(chǎn)力的工具、技巧和方法,9影響FPGA設(shè)計(jì)周期生產(chǎn)力的最大因素是什么?
          • 關(guān)鍵字: FPGA  時(shí)序  

          FPGA學(xué)習(xí)的四大誤區(qū)

          • FPGA學(xué)習(xí)的四大誤區(qū)-FPGA為什么是可以編程的?恐怕很多菜鳥(niǎo)不知道,他們也不想知道。因?yàn)樗麄冇X(jué)得這是無(wú)關(guān)緊要的。他們潛意識(shí)的認(rèn)為可編程嘛,肯定就是像寫(xiě)軟件一樣啦。軟件編程的思想根深蒂固,看到Verilog或者VHDL就像看到C語(yǔ)言或者其它軟件編程語(yǔ)言一樣。
          • 關(guān)鍵字: FPGA  可編程邏輯  

          system generator入門(mén)筆記

          • system generator入門(mén)筆記-System Generator是Xilinx公司進(jìn)行數(shù)字信號(hào)處理開(kāi)發(fā)的一種設(shè)計(jì)工具,它通過(guò)將Xilinx開(kāi)發(fā)的一些模塊嵌入到Simulink的庫(kù)中,可以在Simulink中進(jìn)行定點(diǎn)仿真,可是設(shè)置定點(diǎn)信號(hào)的類型,這樣就可以比較定點(diǎn)仿真與浮點(diǎn)仿真的區(qū)別。并且可以生成HDL文件,或者網(wǎng)表,可以再I(mǎi)SE中進(jìn)行調(diào)用。
          • 關(guān)鍵字: Xilinx  賽靈思  Simulink  

          在FPGA開(kāi)發(fā)中盡量避免全局復(fù)位的使用?(2)

          • 在FPGA開(kāi)發(fā)中盡量避免全局復(fù)位的使用?(2)-在Xilinx 的FPGA器件中,全局的復(fù)位/置位信號(hào)(Global Set/Reset (GSR))(可以通過(guò)全局復(fù)位管腳引入)是幾乎絕對(duì)可靠的,因?yàn)樗切酒瑑?nèi)部的信號(hào)。
          • 關(guān)鍵字: FPGA  

          在FPGA開(kāi)發(fā)中盡量避免全局復(fù)位的使用?(1)

          • 在FPGA開(kāi)發(fā)中盡量避免全局復(fù)位的使用?(1)-最近幾天讀了Xilinx網(wǎng)站上一個(gè)很有意思的白皮書(shū)(white paper,wp272.pdf),名字叫《Get Smart About Reset:Think Local, Not Global》,在此分享一下心得,包括以前設(shè)計(jì)中很少注意到的一些細(xì)節(jié)。
          • 關(guān)鍵字: Xilinx  賽靈思  

          如何使用腳本對(duì)Xilinx FPGA編程

          • 如何使用腳本對(duì)Xilinx FPGA編程-最近在做一個(gè)GUI的項(xiàng)目,想試著用FPGA實(shí)現(xiàn)一個(gè)簡(jiǎn)單的GUI。硬件基本模塊和整個(gè)硬件系統(tǒng)已經(jīng)完成設(shè)計(jì),但是軟件程序上還處在調(diào)試階段,由于程序比較大,F(xiàn)PGA內(nèi)部的BRAM已經(jīng)完全不夠用了,只能將運(yùn)行的程序放到DDR DRAM中
          • 關(guān)鍵字: GUI  FPGA  Xilinx  

          FPGA全局時(shí)鐘和第二全局時(shí)鐘資源的使用方法

          • FPGA全局時(shí)鐘和第二全局時(shí)鐘資源的使用方法-目前,大型設(shè)計(jì)一般推薦使用同步時(shí)序電路。同步時(shí)序電路基于時(shí)鐘觸發(fā)沿設(shè)計(jì),對(duì)時(shí)鐘的周期、占空比、延時(shí)和抖動(dòng)提出了更高的要求。為了滿足同步時(shí)序設(shè)計(jì)的要求,一般在FPGA設(shè)計(jì)中采用全局時(shí)鐘資源驅(qū)動(dòng)設(shè)計(jì)的主時(shí)鐘,以達(dá)到最低的時(shí)鐘抖動(dòng)和延遲。
          • 關(guān)鍵字: 全局時(shí)鐘  FPGA  賽靈思  

          Verilog設(shè)計(jì)中的一些避免犯錯(cuò)的小技巧

          • Verilog設(shè)計(jì)中的一些避免犯錯(cuò)的小技巧-這是一個(gè)在設(shè)計(jì)中常犯的錯(cuò)誤列表,這些錯(cuò)誤常使得你的設(shè)計(jì)不可靠或速度較慢,為了提高你的設(shè)計(jì)性能和提高速度的可靠性你必須確定你的設(shè)計(jì)通過(guò)所有的這些檢查。
          • 關(guān)鍵字: FPGA  Verilog  

          基于verilog的FPGA編程經(jīng)驗(yàn)總結(jié)

          • 基于verilog的FPGA編程經(jīng)驗(yàn)總結(jié)-用了半個(gè)多月的ISE,幾乎全是自學(xué)起來(lái)的,碰到了很多很多讓人DT好久的小問(wèn)題,百度也百不到,后來(lái)還是都解決了,為了盡量方便以后的剛學(xué)ISE的童鞋不再因?yàn)橐恍┬?wèn)題而糾結(jié),把這幾天的經(jīng)驗(yàn)總結(jié)了一下。好了,廢話不多說(shuō),上料!
          • 關(guān)鍵字: verilog  FPGA  

          多核處理器會(huì)取代FPGA嗎?

          • 多核處理器會(huì)取代FPGA嗎?-有人認(rèn)為諸如圖形處理器(GPU)和Tilera處理器等多核處理器在某些應(yīng)用中正逐步替代現(xiàn)場(chǎng)可編程門(mén)陳列(FPGA)。理由是這些多核處理器的處理性能要高很多,例如,由于GPU起初主要負(fù)責(zé)圖形繪制,因此,其尤其善于處理單精度(SP)及(某種情況下)雙精度(DP)浮點(diǎn)(FP)運(yùn)算。
          • 關(guān)鍵字: FPGA  GPU  Tilera  

          7 50T 入門(mén)級(jí)FPGA評(píng)估套件上手評(píng)測(cè)

          • 7 50T 入門(mén)級(jí)FPGA評(píng)估套件上手評(píng)測(cè)-FPGA即現(xiàn)場(chǎng)可編程門(mén)陣列,屬于可編程邏輯器件的一種。隨著工藝的進(jìn)步和EDA設(shè)計(jì)工具的不斷發(fā)展,F(xiàn)PGA的門(mén)檻(學(xué)習(xí)成本和價(jià)格成本)也越來(lái)越低,目前已經(jīng)成為實(shí)現(xiàn)數(shù)字系統(tǒng)的主流平臺(tái)之一。
          • 關(guān)鍵字: FPGA  可編程邏輯  Xilinx  

          FPGA的快速入門(mén)經(jīng)驗(yàn)談(part1)

          • FPGA的快速入門(mén)經(jīng)驗(yàn)談(part1)-有很多年輕人,被割裂了歷史,被荒廢了未來(lái),迷茫, 迷茫到幾乎絕望,不過(guò),他們還年輕,青春尚存,還有創(chuàng)造力,還有奮斗的資本,其中不乏不甘心被拋棄,被覆蓋之人。
          • 關(guān)鍵字: FPGA  單片機(jī)  
          共6755條 52/451 |‹ « 50 51 52 53 54 55 56 57 58 59 » ›|

          xilinx fpga介紹

            Xilinx FPGA   Xilinx FPGA主要分為兩大類,一種側(cè)重低成本應(yīng)用,容量中等,性能可以滿足一般的邏輯設(shè)計(jì)要求,如Spartan系列;還有一種側(cè)重于高性能應(yīng)用,容量大,性能能滿足各類高端應(yīng)用,如Virtex系列,用戶可以根據(jù)自己實(shí)際應(yīng)用要求進(jìn)行選擇。 在性能可以滿足的情況下,優(yōu)先選擇低成本器件。   Xilinx FPGA可編程邏輯解決方案縮短了電子設(shè)備制造商開(kāi)發(fā)產(chǎn)品的時(shí)間 [ 查看詳細(xì) ]

          熱門(mén)主題

          樹(shù)莓派    linux   
          關(guān)于我們 - 廣告服務(wù) - 企業(yè)會(huì)員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機(jī)EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國(guó)際技術(shù)信息咨詢有限公司
          備案 京ICP備12027778號(hào)-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();