<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁(yè)  資訊  商機(jī)   下載  拆解   高校  招聘   雜志  會(huì)展  EETV  百科   問(wèn)答  電路圖  工程師手冊(cè)   Datasheet  100例   活動(dòng)中心  E周刊閱讀   樣片申請(qǐng)
          EEPW首頁(yè) >> 主題列表 >> xilinx fpga

          合理使用JTAG和IMPACT幫助你調(diào)試FPGA不能啟動(dòng)的問(wèn)題

          • 合理使用JTAG和IMPACT幫助你調(diào)試FPGA不能啟動(dòng)的問(wèn)題-本來(lái)想著把GTX后面兩篇博文找時(shí)間寫(xiě)了,但是最近實(shí)在是忙,一直在搭圖像處理的AXI框架和整FPGA-DSP雙平臺(tái)的板子,下面先和大家分享一下調(diào)試心得。
          • 關(guān)鍵字: JTAG  MPACT  FPGA  

          關(guān)于高阻態(tài)和OOC(out of context)綜合方式

          • 關(guān)于高阻態(tài)和OOC(out of context)綜合方式-Xilinx Vivado工具支持僅將系統(tǒng)設(shè)計(jì)的一部分進(jìn)行綜合,即OOC(out of context)綜合方式。OOC綜合方式的流程就是將設(shè)計(jì)的某個(gè)模塊單獨(dú)完成綜合操作,這會(huì)帶來(lái)如下可能性
          • 關(guān)鍵字: 高阻態(tài)  OOC  Xilinx  

          手把手教你FPGA與RT以及Host端通信

          • 手把手教你FPGA與RT以及Host端通信-在ECM中,會(huì)涉及到FPGA、RT以及主機(jī),那么三者之間是如何進(jìn)行數(shù)據(jù)流的傳輸呢?本文將以cRIO-9068為例,帶大家了解整個(gè)編程以及實(shí)現(xiàn)過(guò)程。
          • 關(guān)鍵字: FPGA  Host  Real-Time  

          基于FPGA硬件加速的BittWare StreamSleuth對(duì)抗100Gbps

          • 基于FPGA硬件加速的BittWare StreamSleuth對(duì)抗100Gbps-在過(guò)去的三十年中,以太網(wǎng)已經(jīng)發(fā)展成為所有行業(yè)的統(tǒng)一通信基礎(chǔ)架構(gòu)。每天都有超過(guò)三百萬(wàn)的以太網(wǎng)端口在部署,覆蓋從FE到100GbE的所有速度。
          • 關(guān)鍵字: FPGA  以太網(wǎng)  

          AWS獨(dú)家詳述FPGA基本原理和市場(chǎng)發(fā)展

          • AWS獨(dú)家詳述FPGA基本原理和市場(chǎng)發(fā)展-在2016年底一年快要結(jié)束的時(shí)候,AWS(亞馬遜網(wǎng)絡(luò)服務(wù))宣布通過(guò)借助云傳輸模型可以采用Xilinx高端FPGA器件了,首次以開(kāi)發(fā)者的角度而不是擴(kuò)展高層次工具來(lái)幫助潛在的用戶學(xué)習(xí)和體驗(yàn)FPGA的加速效果。
          • 關(guān)鍵字: AWS  FPGA  

          FPGA的過(guò)去,現(xiàn)在和未來(lái)

          • FPGA的過(guò)去,現(xiàn)在和未來(lái)-自Xilinx在1984年創(chuàng)造出FPGA以來(lái),這種可編程邏輯器件憑借性能、上市時(shí)間、成本、穩(wěn)定性和長(zhǎng)期維護(hù)方面的優(yōu)勢(shì),在通信、醫(yī)療、工控和安防等領(lǐng)域占有一席之地,在過(guò)去幾年也有極高的增長(zhǎng)率。而進(jìn)入了最近兩年,由于云計(jì)算、高性能計(jì)算和人工智能的繁榮,擁有先天優(yōu)勢(shì)的FPGA的關(guān)注度更是到達(dá)了前所未有的高度。本文從基礎(chǔ)出發(fā)談及FPGA的過(guò)去、現(xiàn)在與未來(lái)。
          • 關(guān)鍵字: fpga  xilinx  英特爾  

          FPGA基于CORDIC算法的求平方實(shí)現(xiàn)

          • FPGA基于CORDIC算法的求平方實(shí)現(xiàn)-CORDIC是在沒(méi)有專(zhuān)用乘法器(最小化門(mén)數(shù)量)情況下,一組完成特定功能的算法,包括平方、超越、Log、sin/cos/artan。原理為連續(xù)的旋轉(zhuǎn)一個(gè)較小的角度,以一定精度逼近想要的角度。
          • 關(guān)鍵字: FPGA  CORDIC  

          System generator如何與MATLAB進(jìn)行匹配?

          • System generator如何與MATLAB進(jìn)行匹配?-system generator是xilinx公司的系統(tǒng)級(jí)建模工具,它是擴(kuò)展mathworks公司的MATLAB下面的simulink平臺(tái),添加了XILINX FPGA專(zhuān)用的一些模塊。加速簡(jiǎn)化了FPGA的DSP系統(tǒng)級(jí)硬件設(shè)計(jì)。
          • 關(guān)鍵字: xilinx  賽靈思  MATLAB  

          時(shí)序分析中的一些基本概念

          • 時(shí)序分析中的一些基本概念-時(shí)序分析時(shí)FPGA設(shè)計(jì)中永恒的話題,也是FPGA開(kāi)發(fā)人員設(shè)計(jì)進(jìn)階的必由之路。慢慢來(lái),先介紹時(shí)序分析中的一些基本概念。
          • 關(guān)鍵字: FPGA  時(shí)序分析  周期抖動(dòng)  

          使用VIVADO對(duì)7系列FPGA的高效設(shè)計(jì)心得

          • 使用VIVADO對(duì)7系列FPGA的高效設(shè)計(jì)心得-隨著xilinx公司進(jìn)入20nm工藝,以堆疊的方式在可編程領(lǐng)域一路高歌猛進(jìn),與其配套的EDA工具——新一代高端FPGA設(shè)計(jì)軟件VIVADO也備受關(guān)注和飽受爭(zhēng)議。
          • 關(guān)鍵字: FPGA  VIVADO  賽靈思  

          Board從入門(mén)到精通系列(六)

          • Board從入門(mén)到精通系列(六)-由于更新了開(kāi)發(fā)工具,所以本篇博客有必要重復(fù)前面的內(nèi)容,今天首先演示如何利用Vivado開(kāi)發(fā)純邏輯工程,即只在PL上進(jìn)行開(kāi)發(fā)。
          • 關(guān)鍵字: FPGA  Vivado  OpenRISC  

          ZYNQ器件的啟動(dòng)配置方法

          • ZYNQ器件的啟動(dòng)配置方法-無(wú)任是用CPU作為系統(tǒng)的主要器件,還是用FPGA作為系統(tǒng)的主要器件,系統(tǒng)設(shè)計(jì)中首先要考慮到的問(wèn)題就是處理器的啟動(dòng)加載問(wèn)題。
          • 關(guān)鍵字: FPGA  XILINX  賽靈思  

          Board從入門(mén)到精通(五):軟硬件協(xié)同設(shè)計(jì)

          • Board從入門(mén)到精通(五):軟硬件協(xié)同設(shè)計(jì)-Zynq最大的優(yōu)勢(shì)在于,同時(shí)具備軟件、硬件、IO可編程,即All Programmable。在設(shè)計(jì)Zynq過(guò)程中,同樣要建立一種意識(shí),就是從原來(lái)單純的軟件思維(或單純的硬件思維)中解脫,轉(zhuǎn)向軟硬件協(xié)同設(shè)計(jì)的開(kāi)發(fā)方法。
          • 關(guān)鍵字: Board  Zynq  FPGA  

          FPGA開(kāi)發(fā)基本流程

          • FPGA開(kāi)發(fā)基本流程-FPGA是可編程芯片,因此FPGA的設(shè)計(jì)方法包括硬件設(shè)計(jì)和軟件設(shè)計(jì)兩部分。硬件包括FPGA芯片電路、 存儲(chǔ)器、輸入輸出接口電路以及其他設(shè)備,軟件即是相應(yīng)的HDL程序以及最新才流行的嵌入式C程序。
          • 關(guān)鍵字: FPGA  微電子  SOC  

          從可編程器件發(fā)展看FPGA未來(lái)趨勢(shì)

          • 從可編程器件發(fā)展看FPGA未來(lái)趨勢(shì)-可編程邏輯器件的發(fā)展歷史可編程邏輯器件的發(fā)展可以劃分為4個(gè)階段,即從20世紀(jì)70年代初到70年代中為第1段,20世紀(jì)70年代中到80年代中為第2階段,20世紀(jì)80年代到90年代末為第3階段,20世紀(jì)90年代末到目前為第4階段。
          • 關(guān)鍵字: FPGA  可編程器件  賽靈思  
          共6755條 55/451 |‹ « 53 54 55 56 57 58 59 60 61 62 » ›|

          xilinx fpga介紹

            Xilinx FPGA   Xilinx FPGA主要分為兩大類(lèi),一種側(cè)重低成本應(yīng)用,容量中等,性能可以滿足一般的邏輯設(shè)計(jì)要求,如Spartan系列;還有一種側(cè)重于高性能應(yīng)用,容量大,性能能滿足各類(lèi)高端應(yīng)用,如Virtex系列,用戶可以根據(jù)自己實(shí)際應(yīng)用要求進(jìn)行選擇。 在性能可以滿足的情況下,優(yōu)先選擇低成本器件。   Xilinx FPGA可編程邏輯解決方案縮短了電子設(shè)備制造商開(kāi)發(fā)產(chǎn)品的時(shí)間 [ 查看詳細(xì) ]

          熱門(mén)主題

          樹(shù)莓派    linux   
          關(guān)于我們 - 廣告服務(wù) - 企業(yè)會(huì)員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機(jī)EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國(guó)際技術(shù)信息咨詢(xún)有限公司
          備案 京ICP備12027778號(hào)-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();