<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁 > EDA/PCB > 設(shè)計(jì)應(yīng)用 > 基于CPLD的簡易數(shù)字頻率計(jì)的設(shè)計(jì)

          基于CPLD的簡易數(shù)字頻率計(jì)的設(shè)計(jì)

          作者: 時(shí)間:2011-12-22 來源:網(wǎng)絡(luò) 收藏

          摘要:器件的出現(xiàn)給現(xiàn)代電子設(shè)計(jì)帶來了極大的方便和靈活性,使復(fù)雜的數(shù)字電子系統(tǒng)設(shè)計(jì)變?yōu)樾酒壴O(shè)計(jì),同時(shí)還可以很方便地對設(shè)計(jì)進(jìn)行在線修改。首先介紹了頻率計(jì)的測頻原理,然后利用芯片進(jìn)行測頻計(jì)數(shù),從而實(shí)現(xiàn)了簡易的設(shè)計(jì)。此頻率計(jì)的設(shè)計(jì)采用基于VHDL的“Top-Down”(自上而下)的設(shè)計(jì)方法,從系統(tǒng)總體要求出發(fā),自上而下地逐步將設(shè)計(jì)內(nèi)容細(xì)化,最后完成系統(tǒng)硬件的整體設(shè)計(jì)。所設(shè)計(jì)的電路在GW48系列SoPC/EDA實(shí)驗(yàn)箱上通過硬件仿真,下載到目標(biāo)器件上運(yùn)行,能夠滿足實(shí)際測量頻率的要求。
          關(guān)鍵詞:;VHDL;頻率計(jì);設(shè)計(jì)

          0 引言
          目前已經(jīng)有不少文獻(xiàn)分別從不同的角度對此問題進(jìn)行了討論和研究。有基于FPGA實(shí)現(xiàn)的,F(xiàn)PGA的保密性差,它的分段式布線結(jié)構(gòu)決定了其延遲的不可預(yù)測性,它的編程信息需存放在外部存儲器上,使用方法復(fù)雜;也有用標(biāo)準(zhǔn)邏輯器件實(shí)現(xiàn)的,用標(biāo)準(zhǔn)邏輯器件使系統(tǒng)布線復(fù)雜、體積功耗大、可靠性差、設(shè)計(jì)周期長,交流和修改不方便。該頻率計(jì)采用先進(jìn)的EDA技術(shù)及自上而下的設(shè)計(jì),使用CPLD芯片,CPLD具有連續(xù)連接結(jié)構(gòu),易于預(yù)測延時(shí),使電路仿真更加準(zhǔn)確,且編程方便、速度快、集成度高、價(jià)格低,從而使系統(tǒng)研制周期大大縮短,產(chǎn)品的性能價(jià)格比提高。本頻率計(jì)采用流行的VHDL語言編程,并在設(shè)計(jì)平臺實(shí)現(xiàn)了全部編程設(shè)計(jì)。該的設(shè)計(jì)及實(shí)現(xiàn)具有良好的應(yīng)用價(jià)值和推
          廣前景。下面對該頻率計(jì)的軟硬件設(shè)計(jì)進(jìn)行詳細(xì)論述。

          1 測頻原理
          1.1 頻率的定義
          頻率定義為在單位時(shí)間內(nèi),記錄被測信號的變化周期數(shù)(或脈沖個(gè)數(shù))。若記錄被測信號的的變化周期數(shù)(或脈沖個(gè)數(shù))為Nx,則被測頻率Fx的數(shù)值為Nx,單位為:Hz。
          1.2 基本性能指標(biāo)
          測頻范圍為:1 Hz~1 MHz;
          測頻精度:相對誤差為1 Hz。
          1.3 頻率計(jì)的功能分析
          由于是一個(gè)需對數(shù)字信號進(jìn)行測量和顯示的系統(tǒng),由一片CPLD完成各種測試功能(對被測信號進(jìn)行計(jì)數(shù)等),最后將測量結(jié)果送數(shù)碼管顯示輸出,因此測頻需要設(shè)置控制電路、計(jì)數(shù)電路、鎖存電路、譯碼電路,將這些電路設(shè)計(jì)好的各部分連接起來成為一個(gè)整體的電路寫人CPLD芯片中,外圍電路由顯示電路、產(chǎn)生1 Hz的方波電路組成。
          控制電路是對計(jì)數(shù)電路、鎖存電路和譯碼電路進(jìn)行時(shí)序的控制。其控制要求為:先使計(jì)數(shù)電路在1 s內(nèi)進(jìn)行計(jì)數(shù),接著在下一個(gè)1 s內(nèi)鎖存計(jì)數(shù)的結(jié)果,最后將鎖存的數(shù)據(jù)進(jìn)行譯碼和顯示。在第一個(gè)測量顯示周期結(jié)束后,控制電路將再次發(fā)出控制信號,先對功能電路清零,然后使系統(tǒng)開始第二個(gè)測量周期的工作。
          計(jì)數(shù)電路是對被測信號的頻率進(jìn)行計(jì)數(shù)的主功能電路。根據(jù)頻率的定義和測頻的基本原理,必須由一個(gè)脈寬為1 s的對被測信號脈沖計(jì)數(shù)的允許信號,其測量結(jié)果為被測信號在1 s內(nèi)的脈沖個(gè)數(shù),即被測信號的頻率。由于被測信號的頻率測頻范圍為1 Hz~1 MHz,因此用計(jì)數(shù)電路測量時(shí),使用6個(gè)輸出為4位的二進(jìn)制數(shù)計(jì)數(shù)器。此計(jì)數(shù)器從個(gè)位開始到高位分別進(jìn)行計(jì)數(shù),使低位計(jì)數(shù)器的進(jìn)位與高位計(jì)數(shù)器的被測信號輸入端相連,從計(jì)數(shù)器電路的結(jié)構(gòu)可知,該電路輸出信號是由從低位到高位的多組4位二進(jìn)制表示的十進(jìn)制數(shù)組成的,用來分別表示被測信號的個(gè)、十、百、千等位的數(shù)值。
          鎖存電路的主要功能是對計(jì)數(shù)器計(jì)數(shù)輸出的數(shù)據(jù)進(jìn)行鎖定保存。即使在前級計(jì)數(shù)電路的計(jì)數(shù)器清零以后,鎖存器依然有保存的數(shù)據(jù)存在,不會造成數(shù)據(jù)的丟失。鎖存電路中的鎖存器是對單個(gè)十進(jìn)制計(jì)數(shù)器數(shù)據(jù)進(jìn)行保存,因此鎖存器的個(gè)數(shù)與前一級計(jì)數(shù)電路的十進(jìn)制計(jì)數(shù)器的個(gè)數(shù)相同。
          譯碼電路的功能是將鎖存器保存并將輸出的4位二進(jìn)制代碼表示的十進(jìn)制數(shù)進(jìn)行譯碼轉(zhuǎn)換,將其轉(zhuǎn)換為能直接驅(qū)動(dòng)數(shù)碼管顯示的十進(jìn)制數(shù)字字符的輸出信號。
          顯示電路由共陰數(shù)碼管電路構(gòu)成。由于被測信號的頻率測頻范圍為1 Hz~1 MHz,因此需要6個(gè)數(shù)碼管對其進(jìn)行顯示。

          2 頻率計(jì)各模塊設(shè)計(jì)
          2.1 頻率計(jì)原理框圖
          此頻率計(jì)的流程為:被測信號fin輸入到CPLD芯片,在控制信號為1 Hz時(shí),在CPLD芯片里完成對被測信號的測頻、計(jì)數(shù)、鎖存、譯碼,輸出信號接數(shù)碼管顯示,如圖1所示。

          本文引用地址:http://www.ex-cimer.com/article/190886.htm

          a.jpg

          塵埃粒子計(jì)數(shù)器相關(guān)文章:塵埃粒子計(jì)數(shù)器原理

          上一頁 1 2 3 4 下一頁

          關(guān)鍵詞: CPLD 數(shù)字頻率計(jì)

          評論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();