<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁(yè) > EDA/PCB > 設(shè)計(jì)應(yīng)用 > 基于VHDL語(yǔ)言的幾種消抖電路的設(shè)計(jì)

          基于VHDL語(yǔ)言的幾種消抖電路的設(shè)計(jì)

          作者: 時(shí)間:2011-04-13 來(lái)源:網(wǎng)絡(luò) 收藏

          摘要:按鍵被廣泛用于基于FPGA的數(shù)字電路系統(tǒng)設(shè)計(jì)中,機(jī)械式按鍵開(kāi)關(guān)在按鍵操作時(shí)經(jīng)常會(huì)出現(xiàn)抖動(dòng)現(xiàn)象,如果不進(jìn)行消除將會(huì)造成電路系統(tǒng)的誤操作?;诖私榻B了基于語(yǔ)言的計(jì)數(shù)器型、D觸發(fā)器型、狀態(tài)機(jī)型的工作原理、相關(guān)程序、波形仿真及結(jié)果分析,并下栽到EP2C35F672C8芯片上進(jìn)行驗(yàn)證,消抖效果良好,性能穩(wěn)定,可廣泛用于FPGA的按鍵電路中。
          關(guān)鍵詞:;消抖;FPGA

          0 引言
          按鍵開(kāi)關(guān)是許多電子產(chǎn)品不可缺少的輸入設(shè)備,在智能化電子產(chǎn)品中,按鍵開(kāi)關(guān)作為人機(jī)交互的主要器件之一,可以實(shí)現(xiàn)人機(jī)對(duì)話,完成各種功能操作,而機(jī)械式按鍵開(kāi)關(guān)由于其低成本、高可靠性被廣泛使用。在按鍵操作時(shí),機(jī)械觸點(diǎn)的彈性及電壓突跳等原因,在觸點(diǎn)閉合或開(kāi)啟的瞬間會(huì)出現(xiàn)電壓抖動(dòng),實(shí)際應(yīng)用中如果不進(jìn)行處理將會(huì)造成誤觸發(fā)。常見(jiàn)的硬件消抖方法有:利用電容的充放電原理;利用RS觸發(fā)的保持功能;由同相器組成的積分去抖電路;用反相器組成的翻轉(zhuǎn)式去抖電路;不可重復(fù)觸發(fā)單穩(wěn)態(tài)等等。本文采用語(yǔ)言設(shè)計(jì)了幾種按鍵開(kāi)關(guān)的消抖電路。

          1 計(jì)數(shù)器型消抖電路
          1.1 計(jì)數(shù)器型消抖電路(一)
          計(jì)數(shù)器型消抖電路(一)是設(shè)置一個(gè)模值為(N+1)的控制計(jì)數(shù)器,clk在上升沿時(shí),如果按鍵開(kāi)關(guān)key_in='1',計(jì)數(shù)器加1,key_in='0' 時(shí),計(jì)數(shù)器清零。當(dāng)計(jì)數(shù)器值為2時(shí),key_out輸出才為1,其他值為0時(shí)。計(jì)數(shù)器值為N時(shí)處于保持狀態(tài)。因此按鍵key_in持續(xù)時(shí)間大于N個(gè)clk時(shí)鐘周期時(shí),計(jì)數(shù)器輸出一個(gè)單脈沖,否則沒(méi)有脈沖輸出。如果按鍵開(kāi)關(guān)抖動(dòng)產(chǎn)生的毛刺寬度小于N個(gè)時(shí)鐘周期,因而毛刺作用不可能使計(jì)數(shù)器有輸出,防抖動(dòng)目的得以實(shí)現(xiàn)。clk的時(shí)鐘周期與N的值可以根據(jù)按鍵抖動(dòng)時(shí)間由設(shè)計(jì)者自行設(shè)定。
          主要程序結(jié)構(gòu)如下:
          a.JPG
          b.JPG
          圖1是N為3的波形仿真圖,當(dāng)按鍵持續(xù)時(shí)間大于3個(gè)時(shí)鐘周期,計(jì)數(shù)器輸出一個(gè)單脈沖,其寬度為1個(gè)時(shí)鐘周期,小于3個(gè)時(shí)鐘周期的窄脈沖用作模擬抖動(dòng)干擾,從圖1可以看出,抖動(dòng)不能干擾正常的單脈沖輸出。

          本文引用地址:http://www.ex-cimer.com/article/191239.htm

          c.JPG


          該方案的特點(diǎn)是能很好消除按鍵抖動(dòng)產(chǎn)生的窄脈沖,還可以濾去干擾、噪音等其他尖峰波,但遇到脈寬大于N個(gè)Tclk時(shí)鐘周期的干擾、噪音等時(shí)會(huì)有輸出從而產(chǎn)生誤操作,而對(duì)于按鍵操作要求按鍵時(shí)間必須大于N個(gè)Tclk時(shí)鐘周期,否則按鍵操作也沒(méi)有輸出。

          1.2 計(jì)數(shù)器型消抖電路(二)
          計(jì)數(shù)器型消抖電路(二)是控制計(jì)數(shù)器工作一個(gè)循環(huán)周期(N+1個(gè)狀態(tài)),且僅在計(jì)數(shù)器為0時(shí)輸出為“1”。電路設(shè)計(jì)了連鎖控制設(shè)施。在計(jì)數(shù)器處于狀態(tài)0時(shí),此時(shí)若有按鍵操作,則計(jì)數(shù)器進(jìn)入狀態(tài)1,同時(shí)輸出單脈沖(其寬度等于時(shí)鐘周期)。計(jì)數(shù)器處于其他狀態(tài),都沒(méi)有單脈沖輸出。計(jì)數(shù)器處于狀態(tài)N時(shí),控制en='0',導(dǎo)致計(jì)數(shù)器退出狀態(tài)N,進(jìn)入狀態(tài)0。計(jì)數(shù)器能否保持狀態(tài)0,取決于人工按鍵操作,若按鍵key_ in='1',控制en='1'(計(jì)數(shù)器能正常工作),key_in='0',計(jì)數(shù)器狀態(tài)保持。顯見(jiàn)計(jì)數(shù)器處于狀態(tài)0,人工不按鍵,則計(jì)數(shù)器保持狀態(tài)0。


          上一頁(yè) 1 2 3 下一頁(yè)

          關(guān)鍵詞: VHDL 消抖電路

          評(píng)論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();