<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁 > EDA/PCB > 設計應用 > 基于VHDL語言的幾種消抖電路的設計

          基于VHDL語言的幾種消抖電路的設計

          作者: 時間:2011-04-13 來源:網絡 收藏

          主要程序結構如下:
          d.JPG
          e.JPG
          圖2是N為7的波形仿真圖。在計數器狀態(tài)為0時,key_in有按鍵操作,計數器開始連續(xù)計數直到計數器狀態(tài)為0;計數器狀態(tài)為1-7時,key _in任何操作對計數器工作無影響,計數器在狀態(tài)為1時,輸出一個單脈沖,脈沖寬度為1個時鐘周期。

          本文引用地址:http://www.ex-cimer.com/article/191239.htm

          f.JPG


          該設計方案的特點是能很好消除按鍵抖動產生的連續(xù)脈沖,對按鍵時間沒有要求,缺點是在計數器狀態(tài)為0時,遇到干擾、噪音等時會有輸出,從而產生誤操作。

          2 D觸發(fā)器型
          D觸發(fā)器型設計了三個D觸發(fā)器與一個三輸入與門。三個D觸發(fā)器串行連接,其Q輸出端分別與三輸入與門的輸入端連接,D觸發(fā)器型RTL電路如圖3所示。

          h.JPG



          關鍵詞: VHDL 消抖電路

          評論


          相關推薦

          技術專區(qū)

          關閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();