<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁  資訊  商機(jī)   下載  拆解   高校  招聘   雜志  會(huì)展  EETV  百科   問答  電路圖  工程師手冊(cè)   Datasheet  100例   活動(dòng)中心  E周刊閱讀   樣片申請(qǐng)
          EEPW首頁 >> 主題列表 >> cpld/ppga

          基于計(jì)算機(jī)總線的CPLD加密電路設(shè)計(jì)

          • 隨著軟件產(chǎn)品的廣泛應(yīng)用,對(duì)軟件的知識(shí)產(chǎn)權(quán)保護(hù)也開始重要。軟件產(chǎn)品通過系列號(hào)碼加密,每一個(gè)軟件均有唯一的產(chǎn)品系列號(hào)碼。軟件產(chǎn)品配置加密電路板后,軟件產(chǎn)品和該產(chǎn)品軟件加密板同時(shí)售出,用戶在使用時(shí)一套軟件要配備一塊加密板,通過控制加密板,就可以保證軟件產(chǎn)品安全。
          • 關(guān)鍵字: 知識(shí)產(chǎn)權(quán)保護(hù)  加密電路板  CPLD  

          基于CPLD的電子秤邏輯接口設(shè)計(jì)

          • 借助EDA工具軟件設(shè)計(jì)了一個(gè)邏輯控制部件,解決了CPU尋址空間不足、接口功能不全等問題。此基于CPLD的可重構(gòu)硬件數(shù)字平臺(tái)具有可移植性,使CPU對(duì)外接器件近似透明,在更換其他類型CPU后,僅做少量軟件和硬件修改即可升級(jí)成為新系統(tǒng)。
          • 關(guān)鍵字: 邏輯控制  EDA  CPLD  電子秤  

          基于CPLD的16位高精度數(shù)字電壓表設(shè)計(jì)

          • 傳統(tǒng)的數(shù)字電壓表多以單片機(jī)為控制核心,采用CPLD進(jìn)行產(chǎn)品開發(fā),可以靈活地進(jìn)行模塊配置,大大縮短了開發(fā)周期,也有利于數(shù)字電壓表向小型化、集成化的方向發(fā)展。
          • 關(guān)鍵字: 電壓表  控制核心  CPLD  

          CPLD在高速數(shù)據(jù)采集系統(tǒng)中的應(yīng)用

          • CPLD是復(fù)雜的PLD,專指那些集成規(guī)模大于1000門以上的可編程邏輯器件。它由與陣列、或陣列、輸入緩沖電路、輸出宏單元組成,具有門電路集成度高、可配置為多種輸入輸出形式、多時(shí)鐘驅(qū)動(dòng)、內(nèi)含ROM或FLASH(部分支持在系統(tǒng)編程)、可加密、低電壓、低功耗以及支持混合編程技術(shù)等突出特點(diǎn)。而且CPLD的邏輯單元功能強(qiáng)大,一般的邏輯在單元內(nèi)均可實(shí)現(xiàn),因而其互連關(guān)系簡(jiǎn)單,電路的延時(shí)就是單元本身和集總總線的延時(shí)(通常在數(shù)納秒至十?dāng)?shù)納秒),并且可以預(yù)測(cè)。所以CPLD比較適合于邏輯復(fù)雜、輸入變量多但對(duì)觸發(fā)器的需求量相對(duì)較
          • 關(guān)鍵字: 高速  數(shù)據(jù)采集  CPLD  

          基于CPLD器件的單穩(wěn)態(tài)脈沖展寬電路

          • 在數(shù)字電路設(shè)計(jì)中,當(dāng)需要將一輸入的窄脈沖信號(hào)展寬成具有一定寬度和精度的寬脈沖信號(hào)時(shí),往往很快就想到利用54HC123或54HC4538等單穩(wěn)態(tài)集成電路。這一方面是因?yàn)檫@種專用單穩(wěn)態(tài)集成電路簡(jiǎn)單、方便;另一方面是因?yàn)閷?duì)輸出的寬脈沖信號(hào)的寬度、精度和溫度穩(wěn)定性的要求不是很高。當(dāng)對(duì)輸出的寬脈沖信號(hào)的寬度、精度和溫度穩(wěn)定性的要求較高時(shí),采用常規(guī)的單穩(wěn)態(tài)集成電路可能就比較困難了。眾所周知,專用單穩(wěn)態(tài)集成電路中的寬度定時(shí)元件R、C是隨溫度、濕度等因素變化而變化的,在對(duì)其進(jìn)行溫度補(bǔ)償時(shí),調(diào)試過程相當(dāng)繁瑣,而且,電路工作
          • 關(guān)鍵字: 單穩(wěn)態(tài)  脈沖  CPLD  

          基于AD7892SQ和CPLD的數(shù)據(jù)采集系統(tǒng)

          • 0 引 言  本系統(tǒng)以AD7892SQ和CPLD(復(fù)雜可編程邏輯器件)為核心設(shè)計(jì)了一個(gè)多路信號(hào)采集電路,包括模擬多路復(fù)用、集成放大、A/D轉(zhuǎn)換,CPLD控制等。采用硬件描述語言V
          • 關(guān)鍵字: EDA  CPLD  FPGA  

          分布式錄波器高精度同步時(shí)鐘信號(hào)的實(shí)現(xiàn)

          • 同步時(shí)鐘信號(hào)是分布式錄波器系統(tǒng)任務(wù)順利完成的關(guān)鍵。介紹一種利用可編程CPLD器件實(shí)現(xiàn)性能優(yōu)良的分布式同步信號(hào)源。通過高度集成,將IRIG-B(DC)解碼器以及系統(tǒng)的各種同步邏輯電路集成在一個(gè)MAXII570芯片中,構(gòu)成一個(gè)高精度同步系統(tǒng),從而達(dá)到最佳同步效果。
          • 關(guān)鍵字: 分布式同步邏輯  IRIG-B  CPLD  

          雙通道邏輯控制高速實(shí)時(shí)數(shù)據(jù)采集系統(tǒng)的設(shè)計(jì)

          • 設(shè)計(jì)了一種全新構(gòu)架的高性能數(shù)據(jù)采集系統(tǒng)。采用平衡式雙通道對(duì)稱結(jié)構(gòu),可對(duì)32路輸入信號(hào)進(jìn)行靈活控制。系統(tǒng)中采用了高速A/D轉(zhuǎn)換器、大容量的FIFO SRAM、CPLD技術(shù)和PCI數(shù)據(jù)通信接口,實(shí)現(xiàn)了實(shí)時(shí)、高速的數(shù)據(jù)采集和處理。
          • 關(guān)鍵字: 高速實(shí)時(shí)數(shù)據(jù)采集  平衡式雙通道  CPLD  

          基于ARM和CPLD的高速數(shù)據(jù)采集系統(tǒng)設(shè)計(jì)(圖)

          • 數(shù)據(jù)采集系統(tǒng)是通過采樣電路將輸入的模擬信號(hào)轉(zhuǎn)換成離散信號(hào),并送入CPU、MCU或DSP進(jìn)行處理?,F(xiàn)在流行的基于PCI總線設(shè)計(jì)的采集卡是數(shù)據(jù)采集系統(tǒng)的主流,其優(yōu)點(diǎn)是可以利用PCI總線的研究成果快速的開發(fā)系統(tǒng)軟件,整體運(yùn)行速度快,能夠?qū)崿F(xiàn)實(shí)時(shí)采集實(shí)時(shí)處理。但在一些工業(yè)測(cè)控現(xiàn)場(chǎng)檢測(cè)大型設(shè)備時(shí),從現(xiàn)場(chǎng)到機(jī)房有一定的距離,模擬信號(hào)傳到安裝在PC內(nèi)的PCI數(shù)據(jù)采集卡會(huì)有不同程度的衰減,且易受工業(yè)環(huán)境的干擾。而單純用由微控制器(MCU)為核心的數(shù)據(jù)采集系統(tǒng)時(shí),把數(shù)據(jù)采集器置于被監(jiān)測(cè)的設(shè)備處,雖然可以避免模擬信號(hào)的衰減和
          • 關(guān)鍵字: 數(shù)據(jù)采集  ARM  μC/OS-II  CPLD  

          在選用FPGA進(jìn)行設(shè)計(jì)時(shí)如何降低功耗

          • 傳統(tǒng)意義上,ASIC和CPLD是低功耗競(jìng)爭(zhēng)中當(dāng)仁不讓的贏家。但是由于相對(duì)成本較高,且用戶對(duì)高端性能和額外邏輯的要求也越來越多,在低功耗應(yīng)用中使用CPLD正在失去優(yōu)勢(shì)。ASIC也面臨相同的風(fēng)險(xiǎn)。而例如FPGA這樣日益增長(zhǎng)的可編程半導(dǎo)體器件正逐步成為備受青睞的解決方案。
          • 關(guān)鍵字: 低功耗  ASIC  CPLD  可編程半導(dǎo)體器件  

          可編程邏輯器件與單片機(jī)在雙控制器中的設(shè)計(jì)

          • 可編程邏輯器件的D觸發(fā)器資源非常有限,而且可編程邏輯器件在控制時(shí)序方面不如單片機(jī)那樣方便,很多不熟悉的應(yīng)用者往往感到應(yīng)用起來非常的困難。利用可編程邏輯器件和單片機(jī)構(gòu)成的雙向通信控制器克服了兩者的缺點(diǎn),且把二者的長(zhǎng)處最大限度地發(fā)揮出來。
          • 關(guān)鍵字: 可編程邏輯器件  雙控制器  AT89C51  CPLD  單片機(jī)  

          7種LED點(diǎn)陣顯示屏及其控制系統(tǒng)的設(shè)計(jì)與實(shí)現(xiàn)

          • LED點(diǎn)陣顯示屏是集微電子技術(shù)、計(jì)算機(jī)技術(shù)、信息處理技術(shù)于一體的大型顯示屏系統(tǒng)。它以其色彩鮮艷,動(dòng)態(tài)范圍廣,亮度高,壽命長(zhǎng),工作穩(wěn)定可靠等優(yōu)點(diǎn)而成為眾多顯示媒體以及戶外作業(yè)顯示的理想選擇。目前,已經(jīng)被廣泛應(yīng)用到軍事、車站、賓館、體育、新聞、金融、證券、廣告以及交通運(yùn)輸?shù)仍S多行業(yè)。
          • 關(guān)鍵字: LED點(diǎn)陣  控制系統(tǒng)  發(fā)光管  FPGA  CPLD  

          基于CPLD的ST-BUS總線收發(fā)模塊設(shè)計(jì)與實(shí)現(xiàn)

          •   引言  現(xiàn)代電信系統(tǒng)已發(fā)展為一個(gè)龐大的綜合化數(shù)字網(wǎng)絡(luò),除了提供傳統(tǒng)電話服務(wù)外,也提供多種數(shù)據(jù)接入服務(wù),其典型應(yīng)用是為其它專用通信系統(tǒng)提供數(shù)據(jù)中繼服務(wù)。因E1信號(hào)接入方式簡(jiǎn)單,一般電信交換機(jī)都會(huì)預(yù)留部分E1接入端口以供專用通信系統(tǒng)使用。為滿足電信網(wǎng)接入要求并充分利用線路資源,E1終端子系統(tǒng)內(nèi)部常采用ST-BUS總線對(duì)各路用戶數(shù)據(jù)進(jìn)行復(fù)接或解復(fù)接,并實(shí)現(xiàn)與E1信號(hào)的轉(zhuǎn)換??紤]到專用接口芯片針對(duì)某一種或幾種標(biāo)準(zhǔn)接口而設(shè)計(jì),已無法滿足所有接口標(biāo)準(zhǔn)(尤其是新型接口標(biāo)準(zhǔn))要求。為使各種接口都能與電信線路進(jìn)行數(shù)據(jù)交
          • 關(guān)鍵字: CPLD  ST-BUS  

          Xilinx助力邁信成功推出POWERLINK主站

          • 基于賽靈思Zynq All Programmable SoC的解決方案幫助中國武漢邁信電氣技術(shù)有限公司大大降低了開發(fā)難度,同時(shí)大幅加速了開發(fā)進(jìn)程,使得中國武漢邁信電氣技術(shù)有限公司成為市場(chǎng)上POWERLINK主站的首家中國供應(yīng)商。2013
          • 關(guān)鍵字:   FPGA  IP  嵌入式  PLD  CPLD  SoC  數(shù)字信號(hào)處理  消費(fèi)電子  FPGA  

          FPGA雙雄公布季度財(cái)報(bào)數(shù)據(jù)顯示一片光明

          • FPGA供應(yīng)商Altera和賽靈思近日陸續(xù)公布了健康的財(cái)務(wù)數(shù)據(jù)。Altera公司四季度銷售額為4.544億美元,環(huán)比增長(zhǎng)2%同比增長(zhǎng)3%。賽靈思則為5.87億美元,環(huán)比下降了2%,但是同比激增15%。“受益于Kintex 7系列產(chǎn)品的熱
          • 關(guān)鍵字: FPGA  IP  嵌入式  PLD  CPLD  SoC  數(shù)字信號(hào)處理  消費(fèi)電子  FPGA  
          共775條 6/52 |‹ « 4 5 6 7 8 9 10 11 12 13 » ›|
          關(guān)于我們 - 廣告服務(wù) - 企業(yè)會(huì)員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機(jī)EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國際技術(shù)信息咨詢有限公司
          備案 京ICP備12027778號(hào)-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();