<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁  資訊  商機(jī)   下載  拆解   高校  招聘   雜志  會展  EETV  百科   問答  電路圖  工程師手冊   Datasheet  100例   活動中心  E周刊閱讀   樣片申請
          EEPW首頁 >> 主題列表 >> dp-cpld

          一種可靠的FPGA動態(tài)配置方法及實現(xiàn)

          • 現(xiàn)場可編程邏輯門陣列(FPGA)在通信系統(tǒng)中的應(yīng)用越來越廣泛。隨著通信系統(tǒng)的復(fù)雜化和功能多樣化,很多系統(tǒng)需要在不同時刻實現(xiàn)不同的功能,多數(shù)場合需要FPGA能夠支持在線動態(tài)配置;在某些安全領(lǐng)域,需要對FPGA程序進(jìn)行加密存儲、動態(tài)升級。這里根據(jù)應(yīng)用趨勢提出了一種基于CPU+CPLD的可靠的FPGA動態(tài)加載方法。該方法具有靈活、安全、可靠的特點(diǎn),在通信電子領(lǐng)域具有一定的參考價值。
          • 關(guān)鍵字: 動態(tài)配置  FPGA  CPLD  

          基于CPLD的QWERTY鍵盤設(shè)計

          • 文本信息用戶可能樂意以體積換取 QWERTY 鍵盤,因為文本輸入大為簡便了,而且兩個大拇指都可以用來輸入文本信息或數(shù)據(jù)。最近,有些手機(jī)生產(chǎn)商已經(jīng)推出了面向文本用戶的帶 QWERTY 鍵盤的手機(jī)。
          • 關(guān)鍵字: QWERTY鍵盤  GPIO  CPLD  

          基于CPLD的頻率響應(yīng)特性測試卡設(shè)計

          • 提出了一種基于CPLD的頻率響應(yīng)特性測試卡設(shè)計方案,分析了DDS原理的CPLD實現(xiàn)方法,給出了數(shù)據(jù)處理算法流程,并進(jìn)行了設(shè)計驗證實驗,結(jié)果表明在逐點(diǎn)單頻測試狀態(tài)下,相位和幅值測量與標(biāo)準(zhǔn)儀器相比相位差小于0.5°,幅值差小于0.1dB。
          • 關(guān)鍵字: 頻率響應(yīng)  DDS原理  CPLD  

          基于CPLD的線陣CCD數(shù)據(jù)采集系統(tǒng)

          • 本文結(jié)合實際應(yīng)用需要,設(shè)計了基于復(fù)雜可編程邏輯器件(CPLD)的線陣CCD數(shù)據(jù)采集系統(tǒng)。著重介紹了數(shù)據(jù)采集的特點(diǎn)及該系統(tǒng)軟、硬件設(shè)計和最后的性能評價。
          • 關(guān)鍵字: 數(shù)據(jù)采集系統(tǒng)  CCD  CPLD  

          基于DSP及CPLD的掘進(jìn)機(jī)控制系統(tǒng)設(shè)計

          • 提出了一種基于DSP及CPLD的掘進(jìn)機(jī)控制系統(tǒng)設(shè)計方案,介紹了系統(tǒng)總體設(shè)計、CPLD數(shù)據(jù)采集模塊及CPLD邏輯控制模塊的設(shè)計。該系統(tǒng)采用CPLD實現(xiàn)數(shù)據(jù)采集,在AD采樣環(huán)節(jié)節(jié)省DSP等待時間12μs,25路模擬信號每個采樣周期節(jié)省300μs;采用CPLD代替標(biāo)準(zhǔn)邏輯器件實現(xiàn)各種邏輯功能,簡化了硬件電路的設(shè)計,提高了控制系統(tǒng)集成度。實際應(yīng)用表明,該系統(tǒng)能夠滿足掘進(jìn)機(jī)正常生產(chǎn)的要求,具有較強(qiáng)的實時性和較高的可靠性。
          • 關(guān)鍵字: 掘進(jìn)機(jī)控制系統(tǒng)  AD采樣  CPLD  

          CPLD在爆速儀技術(shù)中的應(yīng)用

          • 爆速儀是一種用來測量火藥爆炸速度的儀器,其性能的優(yōu)劣及穩(wěn)定性對測速的結(jié)果將有直接影響。傳統(tǒng)爆速儀的前端計數(shù)電路一般都是采用分立元器件實現(xiàn),結(jié)構(gòu)擁擠,且保密性不高。為了在滿足爆速儀設(shè)計的微型化的同時滿足較高時鐘要求,在爆速儀的前端計數(shù)模塊和自檢電路部分的設(shè)計中利用CPLD器件代替?zhèn)鹘y(tǒng)的分立元器件電路,并利用Qu-artusⅡ軟件對設(shè)計進(jìn)行仿真。
          • 關(guān)鍵字: 爆速儀  計數(shù)器  CPLD  

          基于CPLD的電池供電系統(tǒng)斷電電路的設(shè)計

          • 今天,大多數(shù)的CPLD(復(fù)雜可編程邏輯器件)都采用可減少功耗的工作模式,但當(dāng)系統(tǒng)未使用時,應(yīng)完全切斷電源以保存電池能量,從而實現(xiàn)很多設(shè)計者的終極節(jié)能目標(biāo)。描述了如何在一片CPLD 上增加幾只分立元件,實現(xiàn)一個節(jié)省電池能量的系統(tǒng)斷電電路。
          • 關(guān)鍵字: 按鍵開關(guān)矩陣  系統(tǒng)斷電電路  CPLD  

          基于CPLD的高效多串口中斷方案

          • 在嵌入式系統(tǒng)中,花費(fèi)大量的中斷源來擴(kuò)展串口無疑是大量的資源浪費(fèi)。針對這種情況,為了節(jié)省緊張的系統(tǒng)資源,本文提出一種實現(xiàn)高效多串口中斷方案,可以利用單一的中斷源來管理多個擴(kuò)展串口,并保證多個串口中斷的無漏檢測與服務(wù)。
          • 關(guān)鍵字: 多串口中斷源  電平轉(zhuǎn)換  CPLD  

          基于CPLD的八段數(shù)碼顯示管驅(qū)動電路設(shè)計

          • 時鐘脈沖計數(shù)器的輸出經(jīng)過3 線—8 線譯碼器譯碼其輸出信號接到八位數(shù)碼管的陰極Vss0、Vss1、Vss2、Vss3、Vss4、Vss5、Vss6、Vss7 端。要顯示的數(shù)據(jù)信息A~H中哪一個,通過八選一數(shù)據(jù)選擇器的地址碼來選擇,選擇出的數(shù)據(jù)信息經(jīng)七段譯碼器譯碼接數(shù)碼管的a~g 管腳。這樣八個數(shù)碼管就可以輪流顯示八個數(shù)字,如果時鐘脈沖頻率合適,可實現(xiàn)八個數(shù)碼管同時被點(diǎn)亮的視覺效果。
          • 關(guān)鍵字: 八位數(shù)碼管  共陰極  CPLD  

          基于DSP/CPLD的嵌入式儀表硬件平臺

          • 文所要設(shè)計的是一種脫機(jī)型儀表硬件平臺。平臺應(yīng)可以滿足一般的數(shù)據(jù)采集的實時性要求,可以靈活的適用于多種不同的應(yīng)用場合,可實現(xiàn)多種類型信號的采集和處理,結(jié)構(gòu)小巧緊湊,便于現(xiàn)場處理,還能與PC機(jī)或其他設(shè)備進(jìn)行通信和交換數(shù)據(jù)。對此,我們構(gòu)建了基于DSP和CPLD技術(shù)的硬件平臺。
          • 關(guān)鍵字: 圖像采集  儀表硬件平臺  CPLD  

          基于CPLD的SDRAM控制器的設(shè)計

          • SDRAM的讀寫邏輯復(fù)雜,最高時鐘頻率達(dá)100 MHz以上,普通單片機(jī)無法實現(xiàn)復(fù)雜的SDRAM控制操作,復(fù)雜可編程邏輯器件CPLD具有編程方便,集成度高,速度快,價格低等優(yōu)點(diǎn)。因此選用CPLD設(shè)計SDRAM接口控制模塊,簡化主機(jī)對SDRAM的讀寫控制。通過設(shè)計基于CPLD的SDRAM控制器接口,可以在STM系列、ARM系列、STC系列等單片機(jī)和DSP等微處理器的外部連接SDRAM,增加系統(tǒng)的存儲空間。
          • 關(guān)鍵字: 刷新時序  CPLD  SDRAM  

          基于Verilog HDL的RS-232串口通信在CPLD上的實現(xiàn)

          • 為了實現(xiàn)PC機(jī)與CPLD的通信,進(jìn)行了相應(yīng)的研究。分析了RS-232C通信協(xié)議,自定義了數(shù)據(jù)包傳輸格式。根據(jù)UART模塊工作狀態(tài)多的特點(diǎn),應(yīng)用了有限狀態(tài)機(jī)理論進(jìn)行編程實現(xiàn)。為降低誤碼率,應(yīng)用16倍頻技術(shù),實現(xiàn)了波特率為9 600 bit/s的串口通信。在Quartus II平臺上用VerilogHDL進(jìn)行編程,并通過了VC編寫程序的數(shù)據(jù)傳輸?shù)尿炞C。研究成果為工程上PC機(jī)與嵌入式系統(tǒng)數(shù)據(jù)傳輸?shù)膯栴}提供了一種解決方法。
          • 關(guān)鍵字: 有限狀態(tài)機(jī)  數(shù)據(jù)包  CPLD  

          基于CPLD的片內(nèi)振蕩器設(shè)計及其優(yōu)化

          • 本文介紹一種通用的基于CPLD的片內(nèi)振蕩器設(shè)計方法,它基于環(huán)形振蕩器原理,只占用片上普通邏輯資源(LE),無需使用專用邏輯資源(如MaxII中的UFM),從而提高了芯片的資源利用率。
          • 關(guān)鍵字: 片內(nèi)振蕩器  SoC  CPLD  

          基于CPLD的數(shù)字延遲線設(shè)計

          • 如果僅用一個延遲模塊就能同時完成脈沖前后沿的延遲,這樣就即節(jié)省了電路制作成本又提高了延遲線的延遲精度。本文正是基于這一思想并使用CPLD芯片來實現(xiàn)數(shù)字延遲線的設(shè)計的。
          • 關(guān)鍵字: 數(shù)字延遲線  延遲誤差  CPLD  

          基于CPLD的CMI編碼的實現(xiàn)

          • 本文針對光纖通信傳輸碼型的要求和CMI碼的編碼原理,介紹了一種以EPM系列7064芯片為硬件平臺,以Max+PlusⅡ為軟件平臺,以VHDL為開發(fā)工具,適合于CPLD實現(xiàn)的CMI編碼器的設(shè)計方案。
          • 關(guān)鍵字: CMI編碼  光纖通信  CPLD  
          共904條 5/61 |‹ « 3 4 5 6 7 8 9 10 11 12 » ›|
          關(guān)于我們 - 廣告服務(wù) - 企業(yè)會員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機(jī)EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國際技術(shù)信息咨詢有限公司
          備案 京ICP備12027778號-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();