<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁  資訊  商機(jī)   下載  拆解   高校  招聘   雜志  會(huì)展  EETV  百科   問答  電路圖  工程師手冊(cè)   Datasheet  100例   活動(dòng)中心  E周刊閱讀   樣片申請(qǐng)
          EEPW首頁 >> 主題列表 >> fpga+dsp

          基于FPGA 的FIR 數(shù)字濾波器設(shè)計(jì)方案

          •   本文簡(jiǎn)要介紹了FIR數(shù)字濾波器的結(jié)構(gòu)特點(diǎn)和基本原理,提出基于FPGA和DSP Builder的FIR數(shù)字濾波器的基本設(shè)計(jì)流程和實(shí)現(xiàn)方案。   在Matlab/Simulink環(huán)境下,采用DSP Builder模塊搭建FIR模型,根據(jù)FDATool工具對(duì)FIR濾波器進(jìn)行了設(shè)計(jì),然后進(jìn)行系統(tǒng)級(jí)仿真和ModelSim功能仿真,其仿真結(jié)果表明其數(shù)字濾波器的濾波效果良好。通過SignalCompiler把模型轉(zhuǎn)換成VHDL語言加入到FPGA的硬件設(shè)計(jì)中,從QuartusⅡ軟件中的虛擬邏輯分析工具SignalT
          • 關(guān)鍵字: FPGA  FIR  數(shù)字濾波器  

          DSP編程技巧之33---答疑解惑哪家強(qiáng)之(8)

          •   答疑解惑哪家強(qiáng)?當(dāng)屬我們EEPW最強(qiáng)。。。接下來繼續(xù)了解一下與編譯器和鏈接器的常見錯(cuò)誤、警告有關(guān)的問題原因和解決方法。   46. Tag_Memory_Model attribute value of "1" that is different than one previously seen ("2"); combining incompatible files,是神馬意思?   這個(gè)錯(cuò)誤表明鏈接器所鏈接的目標(biāo)文件中存在不同的內(nèi)存模式,比如說有的目標(biāo)文件
          • 關(guān)鍵字: DSP  編程  

          DSP編程技巧之32---答疑解惑哪家強(qiáng)之(7)

          •   答疑解惑哪家強(qiáng)?當(dāng)屬我們EEPW最強(qiáng)。。。接下來繼續(xù)我們的答疑解惑。這次我們主要來看一下與編譯器和鏈接器的常見錯(cuò)誤、警告有關(guān)的問題原因和解決方法。   40. 如何快速定位錯(cuò)誤信息?   在CCS V4及以上版本里,在編譯、鏈接之后有一些錯(cuò)誤和警告是包含有鏈接的,如下圖所示。   此時(shí)可以點(diǎn)擊帶下劃線的超鏈接,從而顯示出詳細(xì)的錯(cuò)誤信息,如下圖所示。   這個(gè)功能目前還沒有非常智能化,例如下面的錯(cuò)誤就沒有更詳細(xì)的提示信息。此時(shí)需要我們根據(jù)錯(cuò)誤描述去嘗試解決了。   上面的錯(cuò)誤解決起來也容易,它
          • 關(guān)鍵字: DSP  編程  芯片  

          零基礎(chǔ)學(xué)FPGA(五)Verilog語法基基礎(chǔ)基礎(chǔ)(下)

          •   9、關(guān)于任務(wù)和函數(shù)的小結(jié),挑幾點(diǎn)重要的說一下吧   (1)任務(wù)具有多個(gè)輸入、輸入/輸出和輸出變量,在任務(wù)重可以使用延遲、事件和時(shí)序控制結(jié)構(gòu),在任務(wù)重可以調(diào)用其它任務(wù)和函數(shù)。與任務(wù)不同,函數(shù)具有返回值,而且至少要有一個(gè)輸入變量,而且在函數(shù)中不能使用延遲、事件和時(shí)序控制結(jié)構(gòu),函數(shù)可以條用函數(shù),但是不能調(diào)用任務(wù)。   (2)在聲明函數(shù)時(shí),系統(tǒng)會(huì)自動(dòng)的生成一個(gè)寄存器變量,函數(shù)的返回值通過這個(gè)寄存器返回到調(diào)用處。   (3)函數(shù)和任務(wù)都包含在設(shè)計(jì)層次中,可以通過層次名對(duì)他們實(shí)行調(diào)用。這句話什么意思啊?
          • 關(guān)鍵字: FPGA  Verilog  

          零基礎(chǔ)學(xué)FPGA(四)Verilog語法基基礎(chǔ)基礎(chǔ)(中)

          •   我們接著上篇文章繼續(xù)學(xué)習(xí),上次提到了兩種賦值語句,讓我們接著往下學(xué)。   1、塊語句   塊語句包括兩種,一個(gè)是順序塊,一個(gè)是并行塊。   (1)順序快   順序快就好比C語言里的大括號(hào)“{ }”,在Verilog語法中,用begin…end代替。這里只需要知道,在begin…end中間的語句是順序執(zhí)行的就行了。   (2)并行塊   并行塊可以算是一個(gè)新的知識(shí)點(diǎn),與順序塊最大的不同就是并行塊中的語句是同時(shí)開始執(zhí)行的,要想控制語句的先后順
          • 關(guān)鍵字: FPGA  Verilog  

          零基礎(chǔ)學(xué)FPGA(三)Verilog語法基基礎(chǔ)基礎(chǔ)(上)

          •   這幾天復(fù)習(xí)了一下Verilog的語法知識(shí),就借此寫寫我對(duì)這些東西的想法吧。感覺呢,是和C語言差不多,具有C語言基礎(chǔ)的朋友學(xué)起來應(yīng)該沒什么問題,和C語言相同的地方就不說了吧,重點(diǎn)說一下不同點(diǎn)吧。   1、模塊的結(jié)構(gòu)   模塊呢,是Verilog的基本設(shè)計(jì)單元,它主要是由兩部分組成,一個(gè)是接口,另一個(gè)是邏輯。下面舉一個(gè)小例子說明一下:   module xiaomo (a,b,c,d);   input a,b;   output c,d;   assign c=a|b;   assign
          • 關(guān)鍵字: FPGA  Verilog   

          示波器高刷新率是如何煉成的

          •   之前有一篇文章提到《為何示波器廠商從不提及刷新率》,講述了市面上各示波器廠商在刷新率參數(shù)上的市場(chǎng)現(xiàn)狀。而很多示波器用戶無不關(guān)心示波器的刷新率指標(biāo),近期我司FAE在與客戶交流時(shí),很多客戶對(duì)ZDS2022示波器具有33萬次幀/秒的高刷新率很感興趣,這樣高的刷新率到底是怎樣做出來的呢?   什么是波形刷新率?   波形刷新率又叫波形捕獲率,指的是每秒鐘波形刷新的次數(shù),表示為波形數(shù)每秒(wfms/s)。事實(shí)上,示波器從采集信號(hào)到屏幕上顯示出信號(hào)波形的過程,是由若干個(gè)捕獲周期組成的。一個(gè)捕獲周期包括采樣時(shí)間
          • 關(guān)鍵字: 示波器  ZDS2022  FPGA  

          基于FPGA的無損圖像壓縮系統(tǒng)設(shè)計(jì)

          •   摘要:本文簡(jiǎn)要介紹了圖像壓縮的重要性和常用的無損圖像壓縮算法,分析了快速高效無損圖像壓縮算法(FELICS)的優(yōu)勢(shì),隨后詳細(xì)分析了該算法的編碼步驟和硬件實(shí)現(xiàn)方案,最后公布了基于該方案的FPGA性能指標(biāo)。和其他壓縮算法相比該方案可極大地減小無損圖像壓縮系統(tǒng)所需的存儲(chǔ)空間和壓縮時(shí)間。   引言   隨著信息技術(shù)的巨大革新,數(shù)據(jù)存儲(chǔ)和傳輸開始在人類生活中變得越來越重要,數(shù)據(jù)壓縮技術(shù)因而應(yīng)運(yùn)而生,它不僅能減少數(shù)據(jù)存儲(chǔ)所需的空間還可以緩解傳輸帶寬的壓力。數(shù)據(jù)壓縮可以分為有損壓縮和無損壓縮兩種,其中有損壓縮技
          • 關(guān)鍵字: FPGA  圖像壓縮  像素點(diǎn)  GOLOMB-RICE  存儲(chǔ)器  201501  

          基于軟件解調(diào)的新型NAVTEX信號(hào)處理單元研制

          •   摘要:本文提出采用DSP和軟件解調(diào)技術(shù)實(shí)現(xiàn)NAVTEX接收機(jī)的方法,應(yīng)用基于短時(shí)快速傅立葉變換的方法實(shí)現(xiàn)了NAVTEX信號(hào)軟件解調(diào),克服了傳統(tǒng)NAVTEX接收機(jī)硬件相干解調(diào)所具有的受環(huán)境、溫度等外界因素影響而導(dǎo)致誤碼率升高等問題,有效地提高了NAVTEX接收機(jī)的環(huán)境適應(yīng)性和工作可靠性。   引言   NAVTEX接收機(jī)自動(dòng)接收海岸電臺(tái)發(fā)射的航行告警、氣象告警以及其它安全導(dǎo)航信息,為船舶安全航行提供重要信息保障,是全球海上遇險(xiǎn)和安全系統(tǒng)的重要組成部分[1]。傳統(tǒng)的NAVTEX接收機(jī)的信息解調(diào)部分采用
          • 關(guān)鍵字: NAVTEX  DSP  射頻  2FSK  傅立葉變換  201501  

          2015:工業(yè)與汽車電子展望

          •   摘要:通過走訪部分電機(jī)驅(qū)動(dòng)、汽車電子、測(cè)試測(cè)量的領(lǐng)先廠商,展望了相關(guān)領(lǐng)域的發(fā)展趨勢(shì)。   電機(jī)驅(qū)動(dòng)的關(guān)鍵詞:高效、一對(duì)多和遠(yuǎn)程控制   縱觀2014年,電機(jī)控制的發(fā)展速度雖然不像消費(fèi)品那樣迅猛,但是一直在不斷進(jìn)步,比如近兩年大熱的FOC控制和家電變頻化,以及因傳感器的一些弊端引發(fā)的無傳感器控制需求,業(yè)界都有很強(qiáng)烈的興趣。   Microchips公司16位單片機(jī)產(chǎn)品部產(chǎn)品營銷經(jīng)理Erlendur Kristjansson指出,在接下來幾年,采用梯形波或6步逆變器控制的BLDC電機(jī)正轉(zhuǎn)向依靠無傳感
          • 關(guān)鍵字: 汽車電子  電機(jī)驅(qū)動(dòng)  MCU  FPGA  201501  

          2015:物聯(lián)網(wǎng)引領(lǐng)芯片廠商創(chuàng)新

          •   摘要:通過對(duì)部分行業(yè)有代表性的芯片和軟件廠商的走訪,折射了2015年及今后物聯(lián)網(wǎng)芯片的技術(shù)和產(chǎn)品走勢(shì)。包括從技術(shù)上,不可忽略大數(shù)據(jù)的分析/云計(jì)算。對(duì)部分芯片廠商來說,實(shí)際上更關(guān)心每個(gè)小數(shù)據(jù)的收集是否安全、可靠。另外,物聯(lián)網(wǎng)對(duì)傳感器、傳感器樞紐芯片等提出了挑戰(zhàn),并需要良好的能量采集芯片,也需要系統(tǒng)更加節(jié)能。物聯(lián)網(wǎng)的熱門研發(fā)領(lǐng)域是可穿戴,需要芯片在性能、小型化等方面進(jìn)行創(chuàng)新。   IoT帶來兩個(gè)意想不到的趨勢(shì)   Altera公司總裁、CEO兼董事會(huì)主席John Daane:當(dāng)我們展望2015年時(shí),發(fā)
          • 關(guān)鍵字: 物聯(lián)網(wǎng)  以太網(wǎng)  WiFi  FPGA  大數(shù)據(jù)  云計(jì)算  201501  

          零基礎(chǔ)學(xué)FPGA(二)關(guān)于觸發(fā)器

          •   太書面化的話我就不說了啊,有些東西就像書上寫的,真的看著看著就想睡覺了,還是大白話直白哈。   1、關(guān)于觸發(fā)器的分類   觸發(fā)器呢大體可以按這幾個(gè)部分分類:1、按晶體管性質(zhì)分,可以分為BJT集成電路觸發(fā)器和MOS型集成電路觸發(fā)器。2、按工作方式分,可分為異步工作方式和同步工作方式,異步工作方式也就是不受時(shí)鐘控制,像基本RS觸發(fā)器,同步方式就是受時(shí)鐘控制,稱為時(shí)鐘觸發(fā)器。3、按結(jié)構(gòu)方式分,可分為維持阻塞觸發(fā)器,延邊觸發(fā)器,主從觸發(fā)器等。4、按邏輯功能分,可分為RS觸發(fā)器,JK觸發(fā)器,D觸發(fā)器,T觸發(fā)
          • 關(guān)鍵字: FPGA  觸發(fā)器  

          零基礎(chǔ)學(xué)FPGA (一) 關(guān)于我和FPGA

          •   剛開始也不知道寫點(diǎn)什么,畢竟我才剛剛認(rèn)識(shí)FPGA不久,也寫不出什么東西,就寫點(diǎn)關(guān)于我的經(jīng)歷吧,反正又不是寫書~就隨便扯點(diǎn),就當(dāng)是我的博客的開篇吧!   我現(xiàn)在是一名大二的學(xué)生,讀的是一所普通重點(diǎn)本科,也就是非211啦!專業(yè)呢,是通信工程。在大學(xué)待了也差不多一年半了,給我的整體感覺是,大學(xué)豐富的生活是有了,豐富的課余活動(dòng)甚至沖散了當(dāng)時(shí)我念高中時(shí)對(duì)理想的追求。一年前,我抱著對(duì)大學(xué)的無比崇敬邁進(jìn)了大學(xué)校門,剛來嘛,當(dāng)然要做個(gè)乖孩子,每天早上起很早去早讀,每次上課都坐第一排,下了課去自習(xí)室寫個(gè)作業(yè),晚上回去
          • 關(guān)鍵字: FPGA  DSP  Labview  

          基于藍(lán)牙和DSP的家用醫(yī)療保健智能機(jī)器人設(shè)計(jì)

          •   1引言   數(shù)字化家庭是未來智能小區(qū)系統(tǒng)的基本單元。所謂“數(shù)字化家庭”就是基于家庭內(nèi)部網(wǎng)絡(luò)提供覆蓋整個(gè)家庭的智能化服務(wù),包括數(shù)據(jù)通信、家庭娛樂和信息家電控制功能。   數(shù)字化家庭設(shè)計(jì)的一項(xiàng)主要內(nèi)容是通信功能的實(shí)現(xiàn),包括家庭與外界的通信及家庭內(nèi)部相關(guān)設(shè)施之間的通信。從現(xiàn)在的發(fā)展來看,外部的通信主要通過寬帶接入Internet,而家庭內(nèi)部的通信,筆者采用目前比較具有競(jìng)爭(zhēng)力的藍(lán)牙(Bluetooth)無線接入技術(shù)。   傳統(tǒng)的數(shù)字化家庭采用PC進(jìn)行總體控制,缺乏人性化。筆者根據(jù)
          • 關(guān)鍵字: 藍(lán)牙  DSP  機(jī)器人  

          迎向SDN與NFV FPGA早已做好準(zhǔn)備

          •   網(wǎng)路速度與資料訊息呈現(xiàn)暴炸性的成長(zhǎng),從資料中心、網(wǎng)通乃至于電信業(yè)者無不被這樣的發(fā)展洪流所影響,這也使得晶片業(yè)者們開始采取了一些動(dòng)作,F(xiàn)PGA(可編程邏輯閘陣列)領(lǐng)導(dǎo)供應(yīng)商Xilinx(賽靈思)可以說是其中之一。    ?   Xilinx有線通訊部門總監(jiān)Gilles Garcia指出,近年來相當(dāng)熱門的SDN(軟體定義網(wǎng)路)與NFV(網(wǎng)路功能虛擬化)預(yù)計(jì)將在2015年創(chuàng)造近100億美金的產(chǎn)值,這對(duì)于相關(guān)產(chǎn)業(yè)而言,無疑是相當(dāng)大的機(jī)會(huì)。他進(jìn)一步談到,看待SDN或是NFV,還是可以分成軟體
          • 關(guān)鍵字: Xilinx  SDN  NFV  FPGA  
          共9854條 160/657 |‹ « 158 159 160 161 162 163 164 165 166 167 » ›|

          fpga+dsp介紹

          您好,目前還沒有人創(chuàng)建詞條fpga+dsp!
          歡迎您創(chuàng)建該詞條,闡述對(duì)fpga+dsp的理解,并與今后在此搜索fpga+dsp的朋友們分享。    創(chuàng)建詞條

          熱門主題

          樹莓派    linux   
          關(guān)于我們 - 廣告服務(wù) - 企業(yè)會(huì)員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機(jī)EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國際技術(shù)信息咨詢有限公司
          備案 京ICP備12027778號(hào)-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();