<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁(yè)  資訊  商機(jī)   下載  拆解   高校  招聘   雜志  會(huì)展  EETV  百科   問(wèn)答  電路圖  工程師手冊(cè)   Datasheet  100例   活動(dòng)中心  E周刊閱讀   樣片申請(qǐng)
          EEPW首頁(yè) >> 主題列表 >> fpga:quartusⅡ

          高精度DDFS信號(hào)源FPGA實(shí)現(xiàn)

          • 為進(jìn)行高精度信號(hào)源的設(shè)計(jì),同時(shí)降低設(shè)計(jì)成本,以Cyclone II系列低端FPGA為核心,利用直接頻率合成技術(shù),對(duì)正弦信號(hào)等數(shù)據(jù)進(jìn)行1/4周期壓縮存儲(chǔ)到ROM中,在外部時(shí)鐘頻率為50 MHz,實(shí)現(xiàn)了正弦信號(hào)源的設(shè)計(jì),同時(shí),實(shí)現(xiàn)三角波、鋸齒波、矩形脈沖及2-ASK、2-PSK和2-FSK等數(shù)字調(diào)制信號(hào),系統(tǒng)還具有掃頻、指定波形次數(shù)等功能。仿真結(jié)果表明,信號(hào)源精度高,頻率調(diào)整步進(jìn)可達(dá)0.034 92 Hz,頻率范圍為0.034 92 Hz~9.375 MHz,制作成本低,功能豐富。
          • 關(guān)鍵字: DDFS  FPGA  高精度  信號(hào)源    

          SoC FPGA上的策略考慮

          • SoC FPGA上的策略考慮,引言  集成了 FPGA 架構(gòu)、硬核 CPU 子系統(tǒng)以及其他硬核 IP 的半導(dǎo)體器件 SoC FPGA 已經(jīng)發(fā)展到了一個(gè)“關(guān)鍵點(diǎn)”,它在今后十年中會(huì)得到廣泛應(yīng)用,為系統(tǒng)設(shè)計(jì)人員提供更多的選擇。對(duì)于在 FPGA 上開(kāi)發(fā)的系統(tǒng)
          • 關(guān)鍵字: 考慮  策略  FPGA  SoC  

          PLD/FPGA硬件語(yǔ)言設(shè)計(jì)verilog HDL

          • PLD/FPGA硬件語(yǔ)言設(shè)計(jì)verilog HDL,HDL概述  隨著EDA技術(shù)的發(fā)展,使用硬件語(yǔ)言設(shè)計(jì)PLD/FPGA成為一種趨勢(shì)。目前最主要的硬件描述語(yǔ)言是VHDL和verilog HDL及System Verilog。 VHDL發(fā)展的較早,語(yǔ)法嚴(yán)格;而Verilog HDL是在C語(yǔ)言的基礎(chǔ)上發(fā)展起來(lái)的一種硬
          • 關(guān)鍵字: verilog  HDL  設(shè)計(jì)  語(yǔ)言  硬件  PLD/FPGA  

          基于DSP+FPGA的紅外圖像小目標(biāo)檢測(cè)系統(tǒng)設(shè)計(jì)

          • 基于DSP+FPGA的紅外圖像小目標(biāo)檢測(cè)系統(tǒng)設(shè)計(jì),研究單幀紅外圖像小目標(biāo)的檢測(cè)問(wèn)題。對(duì)傳統(tǒng)基于數(shù)學(xué)形態(tài)學(xué)的Top-hat算子進(jìn)行分析和實(shí)驗(yàn),并利用一種最大類間方差方法確定分割閾值,進(jìn)行圖像分割和目標(biāo)檢測(cè)。在Matlab仿真中發(fā)現(xiàn),這種方法能夠在一定程度上提高單幀圖像目標(biāo)檢測(cè)的成功率,并且在一定程度上能夠適應(yīng)不同環(huán)境的需要,在實(shí)際應(yīng)用中具有一定的魯棒性。同時(shí)描述一種基于DSP+FPGA的紅外圖像處理系統(tǒng),該結(jié)構(gòu)在一定程度上可滿足實(shí)時(shí)性和靈活性的要求,具有很強(qiáng)的通用性和可擴(kuò)展性。介紹了該系統(tǒng)的總體結(jié)構(gòu),并且給
          • 關(guān)鍵字: 目標(biāo)  檢測(cè)系統(tǒng)  設(shè)計(jì)  圖像  紅外  DSP  FPGA  基于  

          基于FPGA的視頻格式轉(zhuǎn)換系統(tǒng)設(shè)計(jì)

          • 摘 要: 針對(duì)電視制式PAL /NTSC 信號(hào)輸出VGA 顯示格式的解決辦法,詳細(xì)講述了基于FPGA 視頻格式轉(zhuǎn)換系統(tǒng)的設(shè)計(jì)實(shí)現(xiàn)。采用Cyclone Ⅲ系列的EP3C1*84C6作為核心處理器件,實(shí)現(xiàn)了NTSC /PAL制式視頻的解碼、色空間轉(zhuǎn)換(
          • 關(guān)鍵字: 系統(tǒng)  設(shè)計(jì)  轉(zhuǎn)換  格式  FPGA  視頻  基于  

          一種基于FPGA 的嵌入式塊SRAM 的設(shè)計(jì)

          • 摘 要:文章中提出了一種應(yīng)用于FPGA 的嵌入式可配置雙端口的塊存儲(chǔ)器。該存儲(chǔ)器包括與其他電路的布線接口、可配置邏輯、可配置譯碼、高速讀寫電路。在編程狀態(tài)下,可對(duì)所有存儲(chǔ)單元進(jìn)行清零,且編程后為兩端口獨(dú)
          • 關(guān)鍵字: FPGA  SRAM  嵌入式    

          基于FPGA的語(yǔ)音存儲(chǔ)與回放系統(tǒng)設(shè)計(jì)

          • 1 設(shè)計(jì)要求  設(shè)計(jì)并制作一個(gè)數(shù)字化語(yǔ)音存儲(chǔ)與回放系統(tǒng),其示意圖如圖1所示。

            圖1 數(shù)字化語(yǔ)音存儲(chǔ)與回放系統(tǒng)示意圖  (1)放大器1的增益為46dB,放大器2的增益為40dB,增益均可調(diào);  (2)帶通濾波器:通帶為30
          • 關(guān)鍵字: FPGA  語(yǔ)音存儲(chǔ)  回放  系統(tǒng)設(shè)計(jì)    

          基于FPGA 與VHDL 的微型打印機(jī)的驅(qū)動(dòng)設(shè)計(jì)

          • 摘 要:為了取代傳統(tǒng)利用單片機(jī)驅(qū)動(dòng)微型打印機(jī),使用Alt era 公司的FPGA 芯片EP3C25Q240C8N 設(shè)計(jì)驅(qū)動(dòng)打印機(jī)的硬件控制電路,并正確控制微型打印機(jī)的工作時(shí)序。軟件使用硬件描述語(yǔ)言VH DL 實(shí)現(xiàn)對(duì)微型打印機(jī)的時(shí)序控
          • 關(guān)鍵字: FPGA  VHDL  微型打印機(jī)  驅(qū)動(dòng)設(shè)計(jì)    

          基于FPGA 的衛(wèi)星便攜站的同步數(shù)字復(fù)接器的設(shè)計(jì)

          • 在便攜式數(shù)字衛(wèi)星通信系統(tǒng)中,為了擴(kuò)大傳輸容量和提高傳輸效率,滿足同時(shí)傳輸幾種業(yè)務(wù)的需求,通常采用時(shí)分復(fù)用的方法,將若干個(gè)低速數(shù)字碼流按一定格式合并成一個(gè)高速數(shù)據(jù)碼流,以便在一條信道中傳輸,使各個(gè)業(yè)務(wù)信
          • 關(guān)鍵字: FPGA  衛(wèi)星  便攜  數(shù)字復(fù)接器    

          根升余弦脈沖成形濾波器FPGA實(shí)現(xiàn)

          • 摘要:提出了基于電路分割技術(shù)實(shí)現(xiàn)通信系統(tǒng)發(fā)送端根升余弦波形成形濾波器查表法的FPGA結(jié)構(gòu),節(jié)省了ROM單元,討論了其ROM初始化時(shí)形波數(shù)據(jù)的組織方法,完成了該結(jié)構(gòu)的VHDL實(shí)現(xiàn),給出了該設(shè)計(jì)在Modelsim環(huán)境下的時(shí)序仿
          • 關(guān)鍵字: FPGA  脈沖  成形濾波器    

          市場(chǎng)需求推動(dòng)FPGA、CPU、DSP走向融合

          •   實(shí)際上,推動(dòng)某項(xiàng)或幾項(xiàng)技術(shù)發(fā)展方向的真正動(dòng)力是市場(chǎng)與技術(shù)的綜合因素,技術(shù)本身或內(nèi)在的發(fā)展慣性并不是最重要的,或者說(shuō)并非唯一決定性因素。   
          • 關(guān)鍵字: FPGA  DSP  

          Altera開(kāi)始提供業(yè)界第一款集成EFEC解決方案

          •   Altera公司日前宣布,開(kāi)始提供業(yè)界第一款集成增強(qiáng)前向糾錯(cuò)(EFEC) IP內(nèi)核,該內(nèi)核針對(duì)高性能Stratix IV和Stratix V系列FPGA進(jìn)行了優(yōu)化。EFEC7和EFEC20是Altera Newfoundland技術(shù)中心 (以前的Avalon Microelectronics) 開(kāi)發(fā)的多維IP內(nèi)核,專門面向城域和長(zhǎng)距離光傳送網(wǎng)(OTN)等100G應(yīng)用而設(shè)計(jì)?!?/li>
          • 關(guān)鍵字: Altera  FPGA  

          基于FPGA的大動(dòng)態(tài)數(shù)控AGC系統(tǒng)設(shè)計(jì)

          • 隨著軟件無(wú)線電技術(shù)和FPGA、DSP、AD 等技術(shù)的高速發(fā)展,數(shù)字接收機(jī)的應(yīng)用日益廣泛。為了擴(kuò)大數(shù)字接收機(jī)的ADC 動(dòng)態(tài)范圍,廣泛采用了自動(dòng)增益控制(AGC) ,使接收機(jī)的增益隨著信號(hào)的強(qiáng)弱進(jìn)行調(diào)整,其性能的好壞直接
          • 關(guān)鍵字: FPGA  AGC  動(dòng)態(tài)  數(shù)控    

          基于FPGA的視頻采集與顯示模塊設(shè)計(jì)

          • 本文給出的視頻采集和顯示模塊在設(shè)計(jì)時(shí),選取分辨率為768times;494像素的NTSC制式, 并選用輸出像素為640times;480的CCD攝像頭; FPGA選取Altera CyclONeⅡ系列Ep2c35F672c36 (內(nèi)含35000個(gè)邏輯單元); 主動(dòng)串行配
          • 關(guān)鍵字: FPGA  視頻采集  顯示模塊    

          基于FPGA的測(cè)角脈沖細(xì)分電路的設(shè)計(jì)

          • 摘要:對(duì)傳統(tǒng)的數(shù)字化轉(zhuǎn)角測(cè)量方法進(jìn)行了簡(jiǎn)要介紹,提出了一種能夠提高測(cè)角分辨率的脈沖細(xì)分技術(shù),并結(jié)合激光陀螺輸出信號(hào)對(duì)該方法進(jìn)行了誤差分析。接著利用FPGA對(duì)此項(xiàng)技術(shù)進(jìn)行了硬件實(shí)現(xiàn),具體描述了電路各部分的工
          • 關(guān)鍵字: FPGA  脈沖  電路    
          共6368條 281/425 |‹ « 279 280 281 282 283 284 285 286 287 288 » ›|

          fpga:quartusⅡ介紹

          您好,目前還沒(méi)有人創(chuàng)建詞條fpga:quartusⅡ!
          歡迎您創(chuàng)建該詞條,闡述對(duì)fpga:quartusⅡ的理解,并與今后在此搜索fpga:quartusⅡ的朋友們分享。    創(chuàng)建詞條

          熱門主題

          關(guān)于我們 - 廣告服務(wù) - 企業(yè)會(huì)員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機(jī)EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國(guó)際技術(shù)信息咨詢有限公司
          備案 京ICP備12027778號(hào)-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();