<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁  資訊  商機(jī)   下載  拆解   高校  招聘   雜志  會展  EETV  百科   問答  電路圖  工程師手冊   Datasheet  100例   活動(dòng)中心  E周刊閱讀   樣片申請
          EEPW首頁 >> 主題列表 >> fpga-pwm

          FPGA低功耗設(shè)計(jì)注意事項(xiàng)

          • FPGA低功耗設(shè)計(jì)注意事項(xiàng),FPGA的功耗高度依賴于用戶的設(shè)計(jì),沒有哪種單一的方法能夠?qū)崿F(xiàn)這種功耗的降低,如同其它多數(shù)事物一樣,降低功耗的設(shè)計(jì)就是一種協(xié)調(diào)和平衡藝術(shù),在進(jìn)行低功耗器件的設(shè)計(jì)時(shí),人們必須仔細(xì)權(quán)衡性能、易用性、成本、密度
          • 關(guān)鍵字: 注意事項(xiàng)  設(shè)計(jì)  功耗  FPGA  

          基于FPGA和硬件描述語言Verilog的液晶顯示控制器的設(shè)計(jì)

          • 基于FPGA和硬件描述語言Verilog的液晶顯示控制器的設(shè)計(jì),本設(shè)計(jì)是一種基于FPGA(現(xiàn)場可編程門陣列)的液晶顯示控制器。與集成電路控制器相比,F(xiàn)PGA更加靈活,可以針對小同的液晶顯示模塊更改時(shí)序信號和顯示數(shù)據(jù)。FPGA的集成度、復(fù)雜度和面積優(yōu)勢使得其日益成為一種頗具吸引力
          • 關(guān)鍵字: 液晶顯示  控制器  設(shè)計(jì)  Verilog  語言  FPGA  硬件  描述  基于  

          FPGA的低功耗設(shè)計(jì)分析

          • FPGA的低功耗設(shè)計(jì)分析,FPGA的功耗高度依賴于用戶的設(shè)計(jì),沒有哪種單一的方法能夠?qū)崿F(xiàn)這種功耗的降低,在進(jìn)行低功耗器件的設(shè)計(jì)時(shí),人們必須仔細(xì)權(quán)衡性能、易用性、成本、密度以及功率等諸多指標(biāo)?! ”M管基于90nm工藝的FPGA的功耗已低于先
          • 關(guān)鍵字: 分析  設(shè)計(jì)  功耗  FPGA  

          基于Flash構(gòu)架的模數(shù)混合的FPGA在心電監(jiān)控儀上的應(yīng)用設(shè)計(jì)

          • 基于Flash構(gòu)架的模數(shù)混合的FPGA在心電監(jiān)控儀上的應(yīng)用設(shè)計(jì),Fution系列的FPGA是世界上首個(gè)基于Flash構(gòu)架的模數(shù)混合的FPGA,即在數(shù)字FPGA的基礎(chǔ)上加入了模擬電路部分,解決了傳統(tǒng)模擬電路和FPGA分離給設(shè)計(jì)帶來的諸多問題,降低了PCB板的制作難度,縮小了產(chǎn)品的體積。FPGA的可編
          • 關(guān)鍵字: 心電  監(jiān)控  應(yīng)用  設(shè)計(jì)  FPGA  混合  Flash  構(gòu)架  模數(shù)  基于  

          怎樣在FPGA中處理開關(guān)控制信號

          • 怎樣在FPGA中處理開關(guān)控制信號,本系統(tǒng)設(shè)計(jì)利用FPGA間接控制2塊MAX4312選通所需要的視頻通道,實(shí)現(xiàn)各個(gè)視頻通道間相互切換。根據(jù)開關(guān)控制信號的設(shè)計(jì)思想在FPGA中對撥動(dòng)開關(guān)輸入信號做去抖動(dòng)處理,然后對不同的開關(guān)操作進(jìn)行編碼,最后將信號送給DSP進(jìn)
          • 關(guān)鍵字: 控制  信號  開關(guān)  處理  FPGA  怎樣  

          FPGA的TCP/IP通信協(xié)議與Matlab通信系統(tǒng)的研究

          •  O 引言  近年來,隨著信息技術(shù)的發(fā)展,網(wǎng)絡(luò)化日加普遍,以太網(wǎng)被廣泛應(yīng)用到各個(gè)領(lǐng)域。例如在數(shù)據(jù)采集領(lǐng)域,一些小型監(jiān)測設(shè)備需要增加網(wǎng)絡(luò)實(shí)現(xiàn)遠(yuǎn)程數(shù)據(jù)傳輸?shù)墓δ?,只要那些設(shè)備上增加一個(gè)網(wǎng)絡(luò)接口并實(shí)現(xiàn)了TCP/I
          • 關(guān)鍵字: 通信  系統(tǒng)  研究  Matlab  協(xié)議  IP  FPGA  TCP  

          基于NiosⅡ的學(xué)習(xí)型遙控器設(shè)計(jì)

          • 摘要:以Altera FPGA系列Cyclone EPlCl2Q240C8器件為載體,通過SoPC技術(shù)構(gòu)建嵌入式軟核NiosⅡ處理器平臺,運(yùn)用Verilog HDL硬件描述語言設(shè)計(jì)等精度測量載波頻率IP核、紅外信號解調(diào)IP核、紅外編碼脈寬測量IP核和紅外發(fā)
          • 關(guān)鍵字: FPGA  I/O  電源  仿真  單片機(jī)  

          基于FPGA的誘發(fā)電位儀系統(tǒng)設(shè)計(jì)

          • 摘要:設(shè)計(jì)了基于FPGA的誘發(fā)電位儀完整系統(tǒng)。首先給出了整個(gè)誘發(fā)電位儀的總體設(shè)計(jì),討論了FPGA作為主芯片的各模塊集成設(shè)計(jì),在此基礎(chǔ)上論述了ADSl258模/教轉(zhuǎn)換芯片的特點(diǎn)并給出了其與FPGA的接口電路設(shè)計(jì)。該誘發(fā)電位
          • 關(guān)鍵字: FPGA  誘發(fā)電位  系統(tǒng)設(shè)計(jì)    

          基于單片機(jī)的高精度PWM式12位D/A轉(zhuǎn)換器設(shè)計(jì)

          •  [一].前言  在用單片機(jī)制作的變送器類和控制器類的儀表中,需要輸出1—5V或4—20mA的直流信號的時(shí)候,通常采用專用的D/A芯片,一般是每路一片。當(dāng)輸出信號的精度較高時(shí),D/A芯片的位數(shù)也將隨之增加。在
          • 關(guān)鍵字: D/A  轉(zhuǎn)換器  設(shè)計(jì)  12位  PWM  單片機(jī)  高精度  基于  

          Altera將啟用臺積電28nm制程工藝生產(chǎn)其FPGA芯片產(chǎn)品

          •   可編程邏輯芯片設(shè)計(jì)商 Altera公司本周一宣布將使用臺積電公司的28nm LP(低功耗)制程技術(shù)制造其廉價(jià)型中端FPGA芯片產(chǎn)品。今年4月份,Altera公司曾宣布他們將使用臺積電的高性能(HP)28nm工藝制作其高端 Statix V FPGA產(chǎn)品,因此這次用同樣來自臺積電的28nm低功耗制程對應(yīng)其中端產(chǎn)品自然是順理成章。   
          • 關(guān)鍵字: Altera  FPGA  

          藍(lán)牙HCI-UART主控制接口的FPGA設(shè)計(jì)與實(shí)現(xiàn)

          • 摘要:藍(lán)牙技術(shù)作為一種短距離的無線通信技術(shù),具有巨大的發(fā)展?jié)摿?本文意從HCI層進(jìn)行藍(lán)牙技術(shù)的應(yīng)用開發(fā)...
          • 關(guān)鍵字: 藍(lán)牙  HCI-UART  FPGA  Verilog  通信  

          基于DSP和FPGA的衛(wèi)星測控多波束系統(tǒng)設(shè)計(jì)

          • 基于DSP和FPGA的衛(wèi)星測控多波束系統(tǒng)設(shè)計(jì),一、引言
              
            衛(wèi)星測控多波束系統(tǒng)主要針對衛(wèi)星信號實(shí)施測控,它包括兩個(gè)方面:信號波達(dá)方向(DOA)的估計(jì)和數(shù)字波束合成。波達(dá)方向的估計(jì)是對空間信號的方向分布進(jìn)行超分辨估計(jì),提取空間源信號的參數(shù)如方位角、仰
          • 關(guān)鍵字: 系統(tǒng)  設(shè)計(jì)  衛(wèi)星  FPGA  DSP  基于  

          PAL一體化攝像機(jī)設(shè)計(jì)

          • 摘要:基于ICX229AK CCD芯片組設(shè)計(jì)了具有VGA接口的一體化攝像機(jī)。采用DSP+FPGA+ASIC的構(gòu)架,完成了視頻信號的采集和多格式顯示。在FPGA中實(shí)現(xiàn)了自動(dòng)聚 焦、自動(dòng)光圈等功能,并擴(kuò)展了鼠標(biāo)驅(qū)動(dòng)及劃線、OSD顯示等實(shí)用功
          • 關(guān)鍵字: DSP  FPGA  視頻  采集  CCD  傳感器  A/D  

          基于單片機(jī)和FPGA的人機(jī)交互系統(tǒng)的設(shè)計(jì)

          • 摘要:在儀器儀表電路中,人機(jī)交互界面是必不可少的環(huán)節(jié)。為了解決單純采用單片機(jī)制作的系統(tǒng)功耗高、速度慢、電路結(jié)構(gòu)繁瑣的問題,同時(shí)為了 發(fā)揮出單片機(jī)的靈活性和FPGA的高速性,系統(tǒng)采用C805lF020單片機(jī)和CycloneⅡ
          • 關(guān)鍵字: FPGA  單片機(jī)  人機(jī)交互系統(tǒng)    

          AES算法中S-box和列混合單元的優(yōu)化及FPGA實(shí)現(xiàn)

          • 美國國家標(biāo)準(zhǔn)與技術(shù)局(NationalInstituteofStandardandTechnology,NIST)于1997年1月提出發(fā)展AES(Ad...
          • 關(guān)鍵字: FPGA  AES算法  S-box  
          共7201條 330/481 |‹ « 328 329 330 331 332 333 334 335 336 337 » ›|

          fpga-pwm介紹

          您好,目前還沒有人創(chuàng)建詞條fpga-pwm!
          歡迎您創(chuàng)建該詞條,闡述對fpga-pwm的理解,并與今后在此搜索fpga-pwm的朋友們分享。    創(chuàng)建詞條

          熱門主題

          樹莓派    linux   
          關(guān)于我們 - 廣告服務(wù) - 企業(yè)會員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機(jī)EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國際技術(shù)信息咨詢有限公司
          備案 京ICP備12027778號-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();