<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁(yè)  資訊  商機(jī)   下載  拆解   高校  招聘   雜志  會(huì)展  EETV  百科   問(wèn)答  電路圖  工程師手冊(cè)   Datasheet  100例   活動(dòng)中心  E周刊閱讀   樣片申請(qǐng)
          EEPW首頁(yè) >> 主題列表 >> verilog-hdl

          實(shí)驗(yàn)22 4位串行累加器

          • 實(shí)驗(yàn)?zāi)康模?)熟悉和掌握FPGA開(kāi)發(fā)流程和Lattice Diamond軟件使用方法;(2)通過(guò)實(shí)驗(yàn)了解累加器的意義及原理方法(3)掌握使用Verilog HDL語(yǔ)言基于FPGA實(shí)現(xiàn)累加器的原理及實(shí)現(xiàn)方法實(shí)驗(yàn)任務(wù)設(shè)計(jì)一個(gè)4位串行累加器,電路原理框圖如圖所示,在開(kāi)關(guān)K處設(shè)置串行輸入數(shù)據(jù),在CP端輸入8個(gè)脈沖,將完成一次,兩個(gè)四位串行數(shù)據(jù)的相加,結(jié)果存D-A中。實(shí)驗(yàn)原理根據(jù)上述電路框圖,可以分割系統(tǒng)任務(wù)。累加器是一個(gè)具有特殊功能的二進(jìn)制寄存器,可以存放計(jì)算產(chǎn)生的中間結(jié)果,省去了計(jì)算單元的讀取操作,能加快計(jì)算單
          • 關(guān)鍵字: 累加器  FPGA  Lattice Diamond  Verilog HDL  

          實(shí)驗(yàn)21:智力競(jìng)賽搶答器

          • 實(shí)驗(yàn)?zāi)康模?)熟悉和掌握FPGA開(kāi)發(fā)流程和Lattice Diamond軟件使用方法;(2)通過(guò)實(shí)驗(yàn)理解和掌握搶答器原理;(3)學(xué)習(xí)用Verilog HDL描述方法描述搶答器。實(shí)驗(yàn)任務(wù)本實(shí)驗(yàn)的任務(wù)是設(shè)計(jì)一個(gè)智力競(jìng)賽搶答器,帶復(fù)位和主持人控制功能。一共4組選手,用開(kāi)關(guān)k1,k2,k3,k4表示主持人復(fù)位開(kāi)始搶答,獲得搶答的選手顯示對(duì)應(yīng)led,答題時(shí)間超過(guò)30秒報(bào)警每位選手初始分?jǐn)?shù)5分(RESET復(fù)位),主持人控制加分減分按鍵,每次增加或減少1分(最多9分),答題選手分?jǐn)?shù)顯示在數(shù)碼管實(shí)驗(yàn)原理根據(jù)搶答器的功能,
          • 關(guān)鍵字: 搶答器  FPGA  Lattice Diamond  Verilog HDL  

          實(shí)驗(yàn)20:步進(jìn)電機(jī)2

          • 實(shí)驗(yàn)?zāi)康模?)熟悉和掌握FPGA開(kāi)發(fā)流程和Lattice Diamond軟件使用方法;(2)通過(guò)實(shí)驗(yàn)理解和掌握步進(jìn)電機(jī)技術(shù)與實(shí)現(xiàn)方法;(3)學(xué)習(xí)用Verilog HDL行為描述方法描述步進(jìn)電機(jī)。實(shí)驗(yàn)任務(wù)本實(shí)驗(yàn)的任務(wù)設(shè)計(jì)一個(gè)步進(jìn)電機(jī)運(yùn)行控制電路,A、B、C、D分別表示步進(jìn)電機(jī)的四相繞組,步進(jìn)電機(jī)按四相四拍的方式運(yùn)行。如要求電機(jī)正傳時(shí),控制端T=1,電機(jī)的四相繞組的通電順序?yàn)锳C—DA—BD—CB—AC……如要求電機(jī)反傳時(shí),控制端T=0,電機(jī)的四相繞組的通電順序?yàn)锳C—CB—BD—DA—AC……。實(shí)驗(yàn)原理為了
          • 關(guān)鍵字: 步進(jìn)電機(jī)  FPGA  Lattice Diamond  Verilog HDL  

          實(shí)驗(yàn)19:步進(jìn)電機(jī)1

          • 實(shí)驗(yàn)?zāi)康模?)熟悉和掌握FPGA開(kāi)發(fā)流程和Lattice Diamond軟件使用方法;(2)通過(guò)實(shí)驗(yàn)理解和掌握步進(jìn)電機(jī)的原理和設(shè)計(jì)方法;(3)學(xué)習(xí)用Verilog HDL描述一個(gè)步進(jìn)電機(jī)電路。實(shí)驗(yàn)任務(wù)本實(shí)驗(yàn)的任務(wù)是設(shè)計(jì)控制四相繞組的步進(jìn)電機(jī)電機(jī)正轉(zhuǎn)、反轉(zhuǎn)、停止的控制電路。要求如下:電機(jī)運(yùn)轉(zhuǎn)規(guī)律為:正轉(zhuǎn)30s→停10s→反轉(zhuǎn)30s→停10s→正轉(zhuǎn)30s……實(shí)驗(yàn)原理步進(jìn)電機(jī)是將電脈沖信號(hào)轉(zhuǎn)變?yōu)榻俏灰苹蚓€位移的開(kāi)環(huán)控制元步進(jìn)電機(jī)件。當(dāng)電流流過(guò)定子繞組時(shí),定子繞組產(chǎn)生一矢量磁場(chǎng)。該磁場(chǎng)會(huì)帶動(dòng)轉(zhuǎn)子旋轉(zhuǎn)一角度,使得轉(zhuǎn)
          • 關(guān)鍵字: 步進(jìn)電機(jī)  FPGA  Lattice Diamond  Verilog HDL  

          實(shí)驗(yàn)18:秒表計(jì)數(shù)器

          • 實(shí)驗(yàn)?zāi)康模?)熟悉和掌握FPGA開(kāi)發(fā)流程和Lattice Diamond軟件使用方法;(2)通過(guò)實(shí)驗(yàn)理解和掌握計(jì)數(shù)器原理;(3)掌握用Verilog HDL數(shù)據(jù)流和行為級(jí)描述寄存器單元的方法。實(shí)驗(yàn)任務(wù)設(shè)計(jì)簡(jiǎn)單秒表(60進(jìn)制),并要求帶啟動(dòng)、復(fù)位、暫停功能。實(shí)驗(yàn)原理如下所示,秒表(60進(jìn)制)即顯示從00到59循環(huán)跳轉(zhuǎn)計(jì)數(shù)。并且通過(guò)開(kāi)關(guān)設(shè)置,達(dá)到復(fù)位至00,任意時(shí)刻暫停和啟動(dòng)的功能。我們通過(guò)將開(kāi)發(fā)板的12M晶振分頻(參考分頻程序)出1Hz的計(jì)時(shí)頻率,實(shí)現(xiàn)秒鐘的效果。將clk_1s的上升沿作為觸發(fā)信號(hào)計(jì)時(shí)。通過(guò)
          • 關(guān)鍵字: 秒表計(jì)數(shù)器  FPGA  Lattice Diamond  Verilog HDL  

          實(shí)驗(yàn)17:分頻器

          • 實(shí)驗(yàn)?zāi)康模?)熟悉和掌握FPGA開(kāi)發(fā)流程和Lattice Diamond軟件使用方法;(2)通過(guò)實(shí)驗(yàn)理解和掌握分頻器原理;(3)學(xué)習(xí)用Verilog HDL行為級(jí)描述時(shí)序邏輯電路。實(shí)驗(yàn)任務(wù)設(shè)計(jì)一個(gè)任意整數(shù)分頻器。實(shí)驗(yàn)原理時(shí)鐘信號(hào)的處理是FPGA的特色之一,因此分頻器也是FPGA設(shè)計(jì)中使用頻率非常高的基本設(shè)計(jì)之一。一般在FPGA中都有集成的鎖相環(huán)可以實(shí)現(xiàn)各種時(shí)鐘的分頻和倍頻設(shè)計(jì),但是通過(guò)語(yǔ)言設(shè)計(jì)進(jìn)行時(shí)鐘分頻是最基本的訓(xùn)練,在對(duì)時(shí)鐘要求不高的設(shè)計(jì)時(shí)也能節(jié)省鎖相環(huán)資源。在本實(shí)驗(yàn)中我們將實(shí)現(xiàn)任意整數(shù)的分頻器,分頻
          • 關(guān)鍵字: 分頻器  FPGA  Lattice Diamond  Verilog HDL  

          實(shí)驗(yàn)16:扭環(huán)形計(jì)數(shù)器

          • 實(shí)驗(yàn)?zāi)康模?)熟悉和掌握FPGA開(kāi)發(fā)流程和Lattice Diamond軟件使用方法;(2)通過(guò)實(shí)驗(yàn)理解和掌握扭環(huán)形計(jì)數(shù)器原理;(3)學(xué)習(xí)用Verilog HDL行為級(jí)描述時(shí)序邏輯電路。實(shí)驗(yàn)任務(wù)設(shè)計(jì)一個(gè)右移扭環(huán)形計(jì)數(shù)器。實(shí)驗(yàn)原理將移位寄存器的輸出非q0連接到觸發(fā)器q3的輸入,這樣就構(gòu)成了一個(gè)扭環(huán)形計(jì)數(shù)器。初始化復(fù)位時(shí),給q0一個(gè)初值0000,則在循環(huán)過(guò)程中依次為:000010001100111011110111001100010000。Verilog HDL建模描述用行為級(jí)描述右移扭環(huán)形計(jì)數(shù)器程序清單tw
          • 關(guān)鍵字: 扭環(huán)形計(jì)數(shù)器  FPGA  Lattice Diamond  Verilog HDL  

          實(shí)驗(yàn)15:環(huán)形計(jì)數(shù)器

          • 實(shí)驗(yàn)?zāi)康模?)熟悉和掌握FPGA開(kāi)發(fā)流程和Lattice Diamond軟件使用方法;(2)通過(guò)實(shí)驗(yàn)理解和掌握環(huán)形計(jì)數(shù)器原理;(3)學(xué)習(xí)用Verilog HDL行為級(jí)描述時(shí)序邏輯電路。實(shí)驗(yàn)任務(wù)設(shè)計(jì)一個(gè)4位右循環(huán)一個(gè)1的環(huán)形計(jì)數(shù)器。實(shí)驗(yàn)原理將移位寄存器的輸出q0連接到觸發(fā)器q3的輸入,并且在這4個(gè)觸發(fā)器中只有一個(gè)輸出為1,另外3個(gè)為0,這樣就構(gòu)成了一個(gè)環(huán)形計(jì)數(shù)器。初始化復(fù)位時(shí),給q0一個(gè)置位信號(hào),則唯一的1將在環(huán)形計(jì)數(shù)器中循環(huán)移位,每4個(gè)時(shí)鐘同期輸出一個(gè)高電平脈沖。Verilog HDL建模描述用行為級(jí)描述
          • 關(guān)鍵字: 環(huán)形計(jì)數(shù)器  FPGA  Lattice Diamond  Verilog HDL  

          實(shí)驗(yàn)14:移位寄存器

          • 實(shí)驗(yàn)?zāi)康模?)熟悉和掌握FPGA開(kāi)發(fā)流程和Lattice Diamond軟件使用方法;(2)通過(guò)實(shí)驗(yàn)理解和掌握移位寄存器原理;(3)學(xué)習(xí)用Verilog HDL行為級(jí)描述時(shí)序邏輯電路。實(shí)驗(yàn)任務(wù)本實(shí)驗(yàn)的任務(wù)是設(shè)計(jì)一個(gè)7位右移并行輸入、串行輸出的移位寄存器。實(shí)驗(yàn)原理如果將多個(gè)觸發(fā)器級(jí)聯(lián)就構(gòu)成一個(gè)多位的移位寄存器,如下圖所示,是以4位移位寄存器為例的邏輯電路圖,其中的LD/SHIFT是一個(gè)置數(shù)/移位控制信號(hào)。當(dāng)LD/SHIFT為1時(shí),在CP作用下,從輸入端A、B、C、D并行接收數(shù)據(jù);當(dāng)LD/SHIFT為0時(shí),在
          • 關(guān)鍵字: 移位寄存器  FPGA  Lattice Diamond  Verilog HDL  

          實(shí)驗(yàn)13:JK觸發(fā)器

          • 實(shí)驗(yàn)?zāi)康模?)熟悉和掌握FPGA開(kāi)發(fā)流程和Lattice Diamond軟件使用方法;(2)通過(guò)實(shí)驗(yàn)理解和掌握J(rèn)K觸發(fā)器原理;(3)學(xué)習(xí)用Verilog HDL語(yǔ)言行為機(jī)描述方法描述JK觸發(fā)器電路。實(shí)驗(yàn)任務(wù)本實(shí)驗(yàn)的任務(wù)是設(shè)計(jì)一個(gè)JK觸發(fā)器實(shí)驗(yàn)原理帶使能端RS鎖存器的輸入端R=S=1時(shí),鎖存器的次態(tài)不確定,這一因素限制了其應(yīng)用。為了解決這個(gè)問(wèn)題,根據(jù)雙穩(wěn)態(tài)元件兩個(gè)輸出端互補(bǔ)的特點(diǎn),用Q和非Q反饋控制輸入信號(hào),并用J代替S,用K代替R,構(gòu)成了J-K鎖存器。Verilog HDL建模描述用行為級(jí)描述實(shí)現(xiàn)的帶異步
          • 關(guān)鍵字: JK觸發(fā)器  FPGA  Lattice Diamond  Verilog HDL  

          實(shí)驗(yàn)12:邊沿觸發(fā)的D觸發(fā)器

          • 實(shí)驗(yàn)?zāi)康模?)熟悉和掌握FPGA開(kāi)發(fā)流程和Lattice Diamond軟件使用方法;(2)通過(guò)實(shí)驗(yàn)理解和掌握D觸發(fā)器原理;(3)學(xué)習(xí)用Verilog HDL語(yǔ)言行為機(jī)描述方法描述D觸發(fā)器電路。實(shí)驗(yàn)任務(wù)本實(shí)驗(yàn)的任務(wù)是描述一個(gè)帶有邊沿觸發(fā)的同步D觸發(fā)器電路,并通過(guò)STEP FPGA開(kāi)發(fā)板的12MHz晶振作為觸發(fā)器時(shí)鐘信號(hào)clk,撥碼開(kāi)關(guān)的狀態(tài)作為觸發(fā)器輸入信號(hào)d,觸發(fā)器的輸出信號(hào)q和~q,用來(lái)分別驅(qū)動(dòng)開(kāi)發(fā)板上的LED,在clk上升沿的驅(qū)動(dòng)下,當(dāng)撥碼開(kāi)關(guān)狀態(tài)變化時(shí)LED狀態(tài)發(fā)生相應(yīng)變化。實(shí)驗(yàn)原理從D觸發(fā)器的特
          • 關(guān)鍵字: D觸發(fā)器  FPGA  Lattice Diamond  Verilog HDL  

          實(shí)驗(yàn)11:RS觸發(fā)器

          • 實(shí)驗(yàn)?zāi)康模?)熟悉和掌握FPGA開(kāi)發(fā)流程和Lattice Diamond軟件使用方法;(2)通過(guò)實(shí)驗(yàn)理解和掌握RS觸發(fā)器原理;(3)學(xué)習(xí)用Verilog HDL語(yǔ)言行為級(jí)描述方法描述RS觸發(fā)器電路。實(shí)驗(yàn)任務(wù)本實(shí)驗(yàn)的任務(wù)是描述一個(gè)RS觸發(fā)器電路,并通過(guò)STEP FPGA開(kāi)發(fā)板的12MHz晶振作為觸發(fā)器時(shí)鐘信號(hào)clk,撥碼開(kāi)關(guān)的狀態(tài)作為觸發(fā)器輸入信號(hào)S,R,觸發(fā)器的輸出信號(hào)Q和非Q,用來(lái)分別驅(qū)動(dòng)開(kāi)發(fā)板上的LED,在clk上升沿的驅(qū)動(dòng)下,當(dāng)撥碼開(kāi)關(guān)狀態(tài)變化時(shí)LED狀態(tài)發(fā)生相應(yīng)變化。實(shí)驗(yàn)原理基本RS觸發(fā)器可以由兩
          • 關(guān)鍵字: RS觸發(fā)器  FPGA  Lattice Diamond  Verilog HDL  

          實(shí)驗(yàn)10:七段數(shù)碼管

          • 1. 實(shí)驗(yàn)?zāi)康模?)熟悉和掌握FPGA開(kāi)發(fā)流程和Lattice Diamond軟件使用方法;(2)通過(guò)實(shí)驗(yàn)理解和掌握數(shù)碼管驅(qū)動(dòng);(3)學(xué)習(xí)用Verilog HDL描述數(shù)碼管驅(qū)動(dòng)電路。2. 實(shí)驗(yàn)任務(wù)在數(shù)碼管上顯示數(shù)字。3. 實(shí)驗(yàn)原理數(shù)碼管是工程設(shè)計(jì)中使用很廣的一種顯示輸出器件。一個(gè)7段數(shù)碼管(如果包括右下的小點(diǎn)可以認(rèn)為是8段)分別由a、b、c、d、e、f、g位段和表示小數(shù)點(diǎn)的dp位段組成。實(shí)際是由8個(gè)LED燈組成的,控制每個(gè)LED的點(diǎn)亮或熄滅實(shí)現(xiàn)數(shù)字顯示。通常數(shù)碼管分為共陽(yáng)極數(shù)碼管和共陰極數(shù)碼管,結(jié)構(gòu)如下圖
          • 關(guān)鍵字: 七段數(shù)碼管  FPGA  Lattice Diamond  Verilog HDL  

          一文看懂VHDL和Verilog有何不同

          •   當(dāng)前最流行的硬件設(shè)計(jì)語(yǔ)言有兩種,即 VHDL 與 Verilog HDL,兩者各有優(yōu)劣,也各有相當(dāng)多的擁護(hù)者。VHDL 語(yǔ)言由美國(guó)軍方所推出,最早通過(guò)國(guó)際電機(jī)工程師學(xué)會(huì)(IEEE)的標(biāo)準(zhǔn),在北美及歐洲應(yīng)用非常普遍。而 Verilog HDL 語(yǔ)言則由 Gateway 公司提出,這家公司輾轉(zhuǎn)被Cadence所購(gòu)并,并得到Synopsys的支持。在得到這兩大 EDA 公司的支持后,也隨后通過(guò)了 IEEE 標(biāo)準(zhǔn),在美國(guó)、日本及中國(guó)臺(tái)灣地區(qū)使用非常普遍。  我們把這兩種語(yǔ)言具體比較下:  1.整體結(jié)構(gòu)  點(diǎn)評(píng)
          • 關(guān)鍵字: VHDL  Verilog  

          H.264/AVC中量化的Verilog實(shí)現(xiàn)

          • 介紹了H.264的量化算法,并用Modelsim進(jìn)行了仿真,結(jié)果與理論完全一致。分析了在FPGA開(kāi)發(fā)板上的資源的消耗。由此可知,完全可以用FPGA實(shí)現(xiàn)H.264的量化
          • 關(guān)鍵字: Verilog  264  AVC  
          共202條 2/14 « 1 2 3 4 5 6 7 8 9 10 » ›|

          verilog-hdl介紹

          您好,目前還沒(méi)有人創(chuàng)建詞條verilog-hdl!
          歡迎您創(chuàng)建該詞條,闡述對(duì)verilog-hdl的理解,并與今后在此搜索verilog-hdl的朋友們分享。    創(chuàng)建詞條

          熱門(mén)主題

          Verilog-Hdl    樹(shù)莓派    linux   
          關(guān)于我們 - 廣告服務(wù) - 企業(yè)會(huì)員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機(jī)EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國(guó)際技術(shù)信息咨詢有限公司
          備案 京ICP備12027778號(hào)-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();