<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁  資訊  商機   下載  拆解   高校  招聘   雜志  會展  EETV  百科   問答  電路圖  工程師手冊   Datasheet  100例   活動中心  E周刊閱讀   樣片申請
          EEPW首頁 >> 主題列表 >> xilinx fpga

          基于FPGA的紅外成像導引頭信號調理卡設計

          • 紅外成像導引頭采用紅外焦平面陣列探測器,易受太陽光等雜散光的影響,評估雜散光對紅外探測器成像質量的影響十分重要。由于導引頭輸出的信號一般采用LVDS或HOTLink格式傳輸,不能被雜散光測試設備直接接收,設計了一種圖像調理卡,采用FPGA為控制核心,將紅外探測器輸出的圖像信號進行格式轉換和調理后傳輸至雜散光測試設備。
          • 關鍵字: 導引頭  LVDS  FPGA  

          一種混合結構高速LDPC編碼器的FPGA實現(xiàn)

          • 分析了準循環(huán)低密度奇偶校驗碼生成矩陣的結構特點,討論了硬件可實現(xiàn)的三種常見編碼器結構,提出了一種混合結構的FPGA實現(xiàn)方法。通過利用循環(huán)矩陣的結構特性,增加少量硬件開銷,就可以實現(xiàn)編碼器高速編碼,滿足高速通信需求,吞吐量達1.36Gb/s。
          • 關鍵字: 奇偶校驗碼  循環(huán)矩陣  FPGA  

          SRAM型FPGA單粒子效應試驗研究

          • 針對軍品級SRAM型FPGA的單粒子效應特性,文中采用重離子加速設備,對Xilinx公司Virtex-II系列可重復編程FPGA中一百萬門的XQ2V1000進行輻射試驗。試驗中,被測FPGA單粒子翻轉采用了靜態(tài)與動態(tài)兩種測試方式。并且通過單粒子功能中斷的測試,研究了基于重配置的單粒子效應減緩方法。試驗發(fā)現(xiàn)被測FPGA對單粒子翻轉與功能中斷都較為敏感,但是在注入粒子LET值達到42MeV.cm2/mg時仍然對單粒子鎖定免疫。
          • 關鍵字: 單粒子效應  重離子加速設備  FPGA  

          基于FPGA的LCoS顯示驅動系統(tǒng)的設計與實現(xiàn)

          • 研究了硅基液晶(LCoS)場序彩色顯示驅動系統(tǒng)的設計與實現(xiàn).該系統(tǒng)以FPGA作為主控芯片,用兩片高速DDR2 SDRAM作為幀圖像存儲器.通過對圖像數(shù)據以幀為單位進行處理,系統(tǒng)將并行輸入的紅、綠、藍數(shù)據轉換成申行輸出的紅、綠、藍單色子幀.將該驅動系統(tǒng)與投影光機配合,實現(xiàn)了分辨率為800×600的LCoS場序彩色顯示.
          • 關鍵字: 硅基液晶  DDR  FPGA  

          基于FPGA的平方根升余弦濾波器設計

          • 為了滿足陸上集群無線電(TETRA)數(shù)字集群系統(tǒng)對基帶信號成形處理的要求,提出了一種用于TETRA數(shù)字集群系統(tǒng)的平方根升余弦(SRRC)濾波器設計,論述了基帶成形濾波和SRRC濾波器的基本原理,分析了窄帶調制帶寬限制、TETRA鄰道干擾限制和濾波器階數(shù)等需解決的問題,論述了濾波器參數(shù)設計和FIR濾波器FPGA實現(xiàn)等關鍵技術,完成了對基于FPGA的SRRC濾波器設計的仿真分析。
          • 關鍵字: 數(shù)字集群系統(tǒng)  基帶信號  FPGA  

          基于FPGA的LCoS驅動及圖像FFT變換系統(tǒng)設計

          • 本文設計了基于FPGA 的LCoS 驅動代碼及圖像的FFT 變換系統(tǒng), 為計算全息三維顯示圖像處理和顯示提供了硬件平臺。
          • 關鍵字: DDRII  全息三維顯示  FPGA  

          基于FPGA圖形字符加速的液晶顯示模塊

          • 在傳統(tǒng)的工業(yè)控制應用中,由于工業(yè)控制計算機中集成了高性能的顯卡,故通常采用工業(yè)控制計算機+液晶顯示器的體系結構,可方便地實現(xiàn)以圖形和字符為主的人機界面。而在對實時性能和可靠性要求比較高的航空航天領域,通常要求液晶顯示器內部集成圖形顯示功能,以減輕主控處理器的負擔,并提高系統(tǒng)的實時性。重點介紹了如何利用FPGA實現(xiàn)基于Bresenham算法的2D圖形繪制(包括畫點、畫線、畫圓、畫橢圓),以及點陣字符和位圖在液晶屏上的顯示,并提出了顯示性能優(yōu)化的一系列策略。
          • 關鍵字: 圖形顯示  2D圖形繪制  FPGA  

          基于FPGA的簡易微機的結構分析與實現(xiàn)

          • 微型計算機的原理及結構一般不易理解掌握,利用FPGA來學習并構建一個簡易微型計算機無疑是一個好方法,對EDA的軟硬件學習也是一個不錯的選擇,可為將來進行相關ASIC沒計打下良好的基礎。
          • 關鍵字: 微型計算機  FPGA  EDA  

          基于FPGA的高清圖像處理設計

          • FPGA解決方案可容易地支持超過HDTV要求的數(shù)據傳輸速率,這意味著一個器件可以支持所有這些格式,只需要根據設備的需要進行重新編程就可以了。這可減少企業(yè)的用料清單項目,同時還排除了ASSP供應商可能存在的供貨風險。
          • 關鍵字: 廣播格式  圖像處理  FPGA  

          基于FPGA的光纖陀螺慣導系統(tǒng)溫控電路接口設計

          • 本文介紹了一種基于FPGA的光纖陀螺慣導系統(tǒng)溫控電路接口設計。主要說明了溫控電路整體結構,溫控電路工作流程,FPGA與外圍電路的通信接口和FPGA的邏輯設計等幾個方面。
          • 關鍵字: 溫控電路  光纖陀螺  FPGA  

          基于FPGA的AVS解碼芯片驗證平臺

          • 針對AVS視頻解碼芯片仿真和驗證的要求,提出了基于FPGA的驗證平臺框架。該驗證平臺主要用于對AVS解碼芯片進行硬件模塊的驗證,從而為整個視頻解碼芯片的開發(fā)提供可靠的依據。該平臺基于Nios II軟核處理器,可使軟件模塊和硬件模塊在一個平臺下真正實現(xiàn)軟硬件協(xié)同工作?;谠撈脚_實現(xiàn)了多個硬件模塊和AVS視頻解碼芯片的驗證,其結果證明了該驗證平臺的正確性和可靠性。
          • 關鍵字: 視頻解碼  驗證平臺  FPGA  

          基于FPGA的高精度時間數(shù)字轉換電路的設計與實現(xiàn)

          • 本文介紹一種基于 FPGA高精度時間數(shù)字轉換電路的設計方法,利用片內鎖相環(huán)(PLL)和環(huán)形移位寄存器,采用不高的系統(tǒng)時鐘便可得到很高的時間分辨率,且占用較少邏輯資源??勺鳛楣δ茈娐藩毩⑹褂?,也可作為 IP核方便地移植到其他片上系統(tǒng)(SOC)中。在 Altera公司的 Stratix和 Cyclone系列芯片上實現(xiàn)時,時間分辨率昀高可達 3.3ns。時序仿真和硬件測試表明該方法的可行性和準確性。
          • 關鍵字: 時間數(shù)字轉換電路  激光探測  FPGA  

          量程自整定高精度頻率測量的FPGA實現(xiàn)

          • 數(shù)字頻率計是一種應用十分廣泛的電子測量儀表,針對寬頻率范圍被測信號頻率測量應用需求,提出并實現(xiàn)了一種基于FPGA的自動量程切換高精度數(shù)字頻率計的設計方法。通過構建測頻控制器、閘門同步生成器、量程自動切換等模塊,并采用Verilog HDL語言進行描述,運用自頂向下的數(shù)字系統(tǒng)設計方法實現(xiàn)了寬頻率范圍頻率測量的量程自動切換。在Xilinx公司的XUPV5-LX110T開發(fā)板上進行了測試,給出了系統(tǒng)后仿真波形。結果表明目標系統(tǒng)能根據被測信號頻率范圍進行自動量程切換,實現(xiàn)高精度頻率測量,測量精度不低于10-7,
          • 關鍵字: 數(shù)字頻率計  自動量程切換  FPGA  

          一種基于FPGA的雷達回波實時模擬器的實現(xiàn)

          • 提出了一種基于FPGA的雷達回波實時模擬器的實現(xiàn)方法。該模擬器采用cPCI標準總線,以FPGA為核心計算單元,配有高速數(shù)模、模數(shù)轉換模塊,可實現(xiàn)雷達回波信號實時在線注入模擬。該模擬器可實現(xiàn)多種體制下復雜回波的模擬,具有很好的工程應用價值。
          • 關鍵字: 雷達回波實時模擬器  半實物仿真  FPGA  

          基于FPGA的嵌入式圖像采集系統(tǒng)設計

          • 介紹了以FPGA為核心的邏輯控制模塊的數(shù)據采集系統(tǒng)的設計可以滿足實時性要求,設計中采用自頂向下的設計方法,根據不同的功能將整個系統(tǒng)劃分為若干模塊進行設計,并介紹了每個模塊的功能和實現(xiàn)方法。在設計中采用VHDL語言對各個模塊進行描述。視頻解碼芯片采用Philips公司的SAA7113H,該芯片通過I2C總線協(xié)議進行配置。實驗表明,設計可以滿足圖像采集實時性的要求。
          • 關鍵字: I2C總線  圖像處理  FPGA  
          共6755條 71/451 |‹ « 69 70 71 72 73 74 75 76 77 78 » ›|

          xilinx fpga介紹

            Xilinx FPGA   Xilinx FPGA主要分為兩大類,一種側重低成本應用,容量中等,性能可以滿足一般的邏輯設計要求,如Spartan系列;還有一種側重于高性能應用,容量大,性能能滿足各類高端應用,如Virtex系列,用戶可以根據自己實際應用要求進行選擇。 在性能可以滿足的情況下,優(yōu)先選擇低成本器件。   Xilinx FPGA可編程邏輯解決方案縮短了電子設備制造商開發(fā)產品的時間 [ 查看詳細 ]

          熱門主題

          樹莓派    linux   
          關于我們 - 廣告服務 - 企業(yè)會員服務 - 網站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產品世界》雜志社 版權所有 北京東曉國際技術信息咨詢有限公司
          備案 京ICP備12027778號-2 北京市公安局備案:1101082052    京公網安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();