<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁  資訊  商機(jī)   下載  拆解   高校  招聘   雜志  會展  EETV  百科   問答  電路圖  工程師手冊   Datasheet  100例   活動中心  E周刊閱讀   樣片申請
          EEPW首頁 >> 主題列表 >> xilinx fpga

          高性能DC/DC轉(zhuǎn)換器應(yīng)對FPGA應(yīng)用中的供電要求

          • 最近FPGA供應(yīng)商推出的新型可編程器件進(jìn)一步縮小了FPGA和ASIC之間的性能差別。盡管這類器件的可配置性對設(shè)計(jì)工程師很有吸引力,但使用這 些器件所涉及的復(fù)雜設(shè)計(jì)規(guī)則和接口協(xié)議,要求設(shè)計(jì)工程師經(jīng)過全面的培訓(xùn),并需要進(jìn)行參考設(shè)計(jì)評估、設(shè)計(jì)仿真和驗(yàn)證工作。另一方面,F(xiàn)PGA應(yīng)用中非常復(fù)雜 的模擬設(shè)計(jì),例如用于內(nèi)核、I/O、存儲器、時(shí)鐘和其它電壓軌的DC/DC穩(wěn)壓器,也要求新的解決方案。本文討論的高性能DC/DC轉(zhuǎn)換器有助于系統(tǒng)設(shè)計(jì) 工程師克服這些挑戰(zhàn)。
          • 關(guān)鍵字: 電壓軌  DC/DC  FPGA  

          基于FPGA的34位串行編碼設(shè)計(jì)

          • 為實(shí)現(xiàn)某專用接口裝置的接口功能檢測,文中詳細(xì)地介紹了一種34位串行碼的編碼方式,并基于FPGA芯片設(shè)計(jì)了該類型編碼的接收、發(fā)送電路。重點(diǎn)分析了電路各模塊的設(shè)計(jì)思路。電路采用SOPC模塊作為中心控制器,設(shè)計(jì)簡潔、可靠。試驗(yàn)表明:該設(shè)計(jì)系統(tǒng)運(yùn)行正常、穩(wěn)定。
          • 關(guān)鍵字: 串行編碼  SOPC  FPGA  

          利用FPGA和多通道光模塊組合長距離傳送高速數(shù)據(jù)

          • 目前基于銅電纜的高速串口能夠以數(shù)千兆位速率進(jìn)行數(shù)據(jù)傳送,并可通過使用多個(gè)并行通道達(dá)成超過100Gbps的數(shù)據(jù)傳輸率,不過傳送的距離卻受到限制,一個(gè)可以改善傳輸距離的作法是使用光互連來取代銅電纜,Alt
          • 關(guān)鍵字: DSP  LGA  FPGA  DDM  

          CPLD/FPGA在數(shù)字通信系統(tǒng)的應(yīng)用

          • 1 引言近年來,由于微電子學(xué)和計(jì)算機(jī)技術(shù)的迅速發(fā)展,給EDA技術(shù)行業(yè)帶來了巨大的變化。 HDL(hardware description language)硬件描述語言是一種描述電路行為的
          • 關(guān)鍵字: Verilog  CPLD  FPGA  HDL  漢明碼  

          基于FPGA的Canny算法的硬件加速設(shè)計(jì)

          • 由于Canny算法自身的復(fù)雜性,使得其做邊緣檢測的處理時(shí)間較長。針對這個(gè)問題,提出和實(shí)現(xiàn)了一種Canny算法的硬件加速功能。加速功能的設(shè)計(jì)是以FPGA為硬件基礎(chǔ),并采用了流水線技術(shù)來對系統(tǒng)的結(jié)構(gòu)改進(jìn)和優(yōu)化。最后通過對有加速器和無加速器的系統(tǒng)分別做圖像處理,并對統(tǒng)計(jì)時(shí)間對比分析。結(jié)果表明經(jīng)過加速改進(jìn)的系統(tǒng)相對節(jié)約了處理時(shí)間,并能實(shí)時(shí)高效地處理復(fù)雜圖像的邊緣。
          • 關(guān)鍵字: 流水線技術(shù)  圖像處理  FPGA  

          一種可靠的FPGA動態(tài)配置方法及實(shí)現(xiàn)

          • 現(xiàn)場可編程邏輯門陣列(FPGA)在通信系統(tǒng)中的應(yīng)用越來越廣泛。隨著通信系統(tǒng)的復(fù)雜化和功能多樣化,很多系統(tǒng)需要在不同時(shí)刻實(shí)現(xiàn)不同的功能,多數(shù)場合需要FPGA能夠支持在線動態(tài)配置;在某些安全領(lǐng)域,需要對FPGA程序進(jìn)行加密存儲、動態(tài)升級。這里根據(jù)應(yīng)用趨勢提出了一種基于CPU+CPLD的可靠的FPGA動態(tài)加載方法。該方法具有靈活、安全、可靠的特點(diǎn),在通信電子領(lǐng)域具有一定的參考價(jià)值。
          • 關(guān)鍵字: 動態(tài)配置  FPGA  CPLD  

          基于Java平臺的FPGA嵌入式系統(tǒng)設(shè)計(jì)

          • 傳統(tǒng)的嵌入式產(chǎn)品只能實(shí)現(xiàn)某種特定的功能,不能滿足用戶可變的豐富多彩的應(yīng)用需求。為解決這個(gè)問題,本文設(shè)計(jì)并實(shí)現(xiàn)了一種使用Java作為軟件平臺的基于FPGA的可編程嵌入式系統(tǒng),以實(shí)現(xiàn)系統(tǒng)對多種本地應(yīng)用和網(wǎng)絡(luò)的支持。
          • 關(guān)鍵字: Java平臺  JNI  FPGA  

          基于FPGA的雙振蕩電路定時(shí)器設(shè)計(jì)

          • 考慮沖擊環(huán)境下定時(shí)器會遇到的問題,并分析了單一的晶體振蕩器和諧振振蕩器都不能很好地滿足抗沖擊性和高精度兩方面要求,因此提出了一種基于FPGA設(shè)計(jì)的雙振蕩定時(shí)器。此定時(shí)器能有效地解決爆破作業(yè)中延時(shí)雷管起爆精度和抗沖擊性能之間的矛盾。更主要的是CPLD的時(shí)序比集成芯片更加容易控制。在FPGA實(shí)現(xiàn),該設(shè)計(jì)的定時(shí)精度達(dá)到納秒級,很好地滿足系統(tǒng)性能要求。本方法具有結(jié)構(gòu)簡單、成本低、可靠性高、精度高等優(yōu)點(diǎn)。
          • 關(guān)鍵字: 定時(shí)器  納秒級  FPGA  

          基于歐氏算法的RS硬件解碼方案的FPGA實(shí)現(xiàn)

          • 在通信系統(tǒng)中應(yīng)用廣泛。由于RS碼的譯碼復(fù)雜度高,數(shù)字運(yùn)算量大,常見的硬件及軟件譯碼方案大多不能滿足高速率的傳輸需求,一般適用于10Mbps以下。本文提出的歐氏算法和頻譜結(jié)構(gòu)分析相結(jié)合的RS硬件解碼方案,適用于FPGA單片實(shí)現(xiàn),速率高、延遲小、通用性強(qiáng)、使用靈活。筆者在FPGA芯片上實(shí)現(xiàn)了GF(2 8)上符號速率為50Mbps的流式解碼方案,最大延時(shí)為640ns,參數(shù)可以根據(jù)需要靈活設(shè)置。
          • 關(guān)鍵字: RS編譯碼  差錯(cuò)控制編碼技術(shù)  FPGA  

          基于FPGA的嵌入式圖像監(jiān)控系統(tǒng)設(shè)計(jì)

          • 本文主要完成了嵌入式圖像監(jiān)控系統(tǒng)的設(shè)計(jì),該系統(tǒng)克服了模擬圖像監(jiān)控技術(shù)具有的弊端,在普通家庭、臨時(shí)性作業(yè)場所中具有很強(qiáng)的應(yīng)用前景。這些領(lǐng)域一般對視頻傳輸指標(biāo)的要求不一定很高,但要求便于攜帶,同時(shí)功耗較小(例如臨時(shí)性場合等),具有體積小、功耗低、成本低、速度快、穩(wěn)定性好等特點(diǎn),可以有效地克服傳統(tǒng)的基于計(jì)算機(jī)的監(jiān)控系統(tǒng)的缺點(diǎn)。系統(tǒng)可做為一個(gè)智能部件“嵌入”到各種應(yīng)用系統(tǒng)中,如將其配上網(wǎng)絡(luò)接口接上計(jì)算機(jī)系統(tǒng),即可構(gòu)成一個(gè)監(jiān)控網(wǎng)絡(luò)系統(tǒng),是一種相對獨(dú)立的OEM部件。
          • 關(guān)鍵字: 圖像監(jiān)控系統(tǒng)  NiosII  FPGA  

          基于FPGA和光纖傳輸?shù)母咚贁?shù)字信號傳輸

          • 提出一種實(shí)時(shí)數(shù)字化光纖傳輸系統(tǒng),該系統(tǒng)分為發(fā)送端和接收端。發(fā)送端用A/D轉(zhuǎn)換器將輸入的模擬信號數(shù)字化,再用FPGA對數(shù)據(jù)進(jìn)行處理,并通過光纖傳輸。同時(shí),F(xiàn)PGA還控制A/D轉(zhuǎn)換器的工作。接收端用串行收發(fā)器TLK1501對接收數(shù)據(jù)進(jìn)行解碼處理,還原有效信號。實(shí)驗(yàn)表明,該系統(tǒng)實(shí)時(shí)性好、信號傳輸誤碼率低、工作性能穩(wěn)定、抗干擾性強(qiáng),系統(tǒng)具有可行性和有效性。
          • 關(guān)鍵字: 高速數(shù)字信號傳輸  寬帶  FPGA  

          基于FPGA的違章車輛視頻檢測系統(tǒng)

          • 近年來,ITS在城市交通管理方面得到了普遍應(yīng)用,在緩解道路交通、防 范交通違章及事故發(fā)生等方面獲得了良好的效果。本文針對ITS應(yīng)用,特別是電子警察系統(tǒng)的應(yīng)用,提出了車輛違章視頻檢測方案,以適應(yīng)ITS的發(fā)展需求。
          • 關(guān)鍵字: 車輛違章檢測  電子警察  FPGA  

          基于FPGA的慢門限恒虛警處理電路設(shè)計(jì)及其仿真

          • 雷達(dá)信號的檢測多是在干擾背景下進(jìn)行,如何從干擾中提取目標(biāo)信號,不僅要求有一定的信噪比,而且必需有恒虛警處理設(shè)備。恒虛警處理是雷達(dá)信號處理的重要組成部分,慢門限恒虛警處理主要是針對接收機(jī)熱噪聲,文中介紹一種基于FPGA嵌入式設(shè)計(jì)的慢門限恒虛警處理電路,給出了仿真模型及仿真結(jié)果,并已將其用于某檢測器中,取得了良好的經(jīng)濟(jì)效益。
          • 關(guān)鍵字: 慢門限恒虛警處理電路  內(nèi)部噪聲  FPGA  

          基于Xilinx FPGA的DCM動態(tài)重配置方法研究及實(shí)現(xiàn)

          • 介紹了Xilinx FPGA中DCM的結(jié)構(gòu)和相關(guān)特性,提出了一種基于Xilinx FPGA的DCM動態(tài)重配置的原理方法,并給出了一個(gè)具體的實(shí)現(xiàn)系統(tǒng)。系統(tǒng)僅通過外部和Xilinx XC4VFX100相連的少數(shù)控制線,就可以在輸入100 MHz時(shí)鐘源的條件下,對DCM進(jìn)行50~300 MHz范圍內(nèi)準(zhǔn)確、快速地變頻。本設(shè)計(jì)系統(tǒng)具有接口簡單、實(shí)時(shí)性強(qiáng)、穩(wěn)定性高等特點(diǎn),目前已成功應(yīng)用到某星載系統(tǒng)中。
          • 關(guān)鍵字: DCM配置  時(shí)鐘源  FPGA  

          并行CRC算法在FPGA上的實(shí)現(xiàn)

          • 循環(huán)冗余碼校驗(yàn)CRC(Cyclic Redundancy Check)廣泛用于通訊領(lǐng)域和數(shù)據(jù)存儲的數(shù)據(jù)檢錯(cuò)?;贔PGA在通訊領(lǐng)域和數(shù)據(jù)存儲的應(yīng)用越來越廣泛,CRC的編碼解碼模塊已經(jīng)是FPGA上的常用模塊了。采用超前位計(jì)算實(shí)現(xiàn)CRC在FPGA上的并行運(yùn)算,通過實(shí)際應(yīng)用證明該算法能有效實(shí)現(xiàn)硬件的速度與資源合理平衡。
          • 關(guān)鍵字: 數(shù)據(jù)檢錯(cuò)  CRC  FPGA  
          共6755條 73/451 |‹ « 71 72 73 74 75 76 77 78 79 80 » ›|

          xilinx fpga介紹

            Xilinx FPGA   Xilinx FPGA主要分為兩大類,一種側(cè)重低成本應(yīng)用,容量中等,性能可以滿足一般的邏輯設(shè)計(jì)要求,如Spartan系列;還有一種側(cè)重于高性能應(yīng)用,容量大,性能能滿足各類高端應(yīng)用,如Virtex系列,用戶可以根據(jù)自己實(shí)際應(yīng)用要求進(jìn)行選擇。 在性能可以滿足的情況下,優(yōu)先選擇低成本器件。   Xilinx FPGA可編程邏輯解決方案縮短了電子設(shè)備制造商開發(fā)產(chǎn)品的時(shí)間 [ 查看詳細(xì) ]

          熱門主題

          樹莓派    linux   
          關(guān)于我們 - 廣告服務(wù) - 企業(yè)會員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機(jī)EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國際技術(shù)信息咨詢有限公司
          備案 京ICP備12027778號-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();