移動版
電子產(chǎn)品世界雜志
《設(shè)計100例》
2014年
2013年
2012年
2011年
2010年
2009年
2008年
2007年
2006年
2005年
2004年
論壇
|
博客
|
活動
|
Datasheet
|
我要投稿
|
在線工具
元器件
RF/射頻
經(jīng)典電路
科學數(shù)學
綜合
綜合
文章
論壇
電路
下載
視頻
元件
綜合
新聞
論壇
博客
問答
下載
電路
廠商
視頻
百科
來這里瞧瞧
NXP技術(shù)培訓視頻
吧>>
UWB - 智能物聯(lián)的未來之星
,你怎么看他?
結(jié)合
超寬帶測距和雷達功能
以實現(xiàn)
高級IoT
應(yīng)用
首頁
每日頭條
技術(shù)頻道
嵌入式系統(tǒng)
模擬技術(shù)
電源與新能源
元件/連接器
手機與無線通信
網(wǎng)絡(luò)與存儲
光電顯示
EDA/PCB
汽車電子
工控自動化
消費電子
醫(yī)療電子
物聯(lián)網(wǎng)與傳感器
測試測量
安全與國防
智能計算
機器人
深度報道
培訓
在線研討會
EETV
電子方案
資源下載
技術(shù)匯
PI技術(shù)專區(qū)
ADI技術(shù)專區(qū)
美信技術(shù)專區(qū)
研華技術(shù)專區(qū)
貝能技術(shù)社區(qū)
Fluke技術(shù)社區(qū)
ZYNQ技術(shù)社區(qū)
維博專區(qū)
Microchip資源專區(qū)
Microchip視頻專區(qū)
Quark技術(shù)社區(qū)
Xilinx社區(qū)
MultiSIM BLUE
Andes專區(qū)
TE金屬混合保護專區(qū)
ADI視頻專區(qū)
JRC工業(yè)技術(shù)專區(qū)
OpenVINO生態(tài)社區(qū)
金升陽電源技術(shù)專區(qū)
Led技術(shù)社區(qū)
DSP技術(shù)社區(qū)
FPGA技術(shù)社區(qū)
MCU技術(shù)社區(qū)
USB技術(shù)社區(qū)
CPLD技術(shù)社區(qū)
Zigbee技術(shù)社區(qū)
Labview技術(shù)社區(qū)
Arduino技術(shù)社區(qū)
示波器技術(shù)社區(qū)
步進電機技術(shù)社區(qū)
無線充電技術(shù)社區(qū)
人臉識別技術(shù)社區(qū)
指紋識別技術(shù)社區(qū)
TE金屬混合保護專區(qū)
互動社區(qū)
論壇
開發(fā)板試用
博客
技術(shù)SOS
活動中心
積分禮品
E星球
更多
商機
高校
招聘
雜志
會展
百科
工程師手冊
Datasheet
國際視野
技術(shù)社區(qū)
技術(shù)
廠商
FPGA
DSP
MCU
步進電機
Zigbee
LabVIEW
無線充電
RFID
STM32
示波器
CAN總線
開關(guān)電源
單片機
OLED
PCB
USB
ARM
萬用表
CPLD
EMC
RAM
傳感器
可控硅
IGBT
逆變器
智能手表
藍牙
PLC
PWM
觸摸屏
更多
ADI
ARM
Advantech
Intersil
Keithley
Anritsu
Freescale
Fujitsu
Harting
Infineon
Intersil
Keysight
Linear
Lattice
Teledynelecroy
Maxim
Mediatek
Microchip
Mips
Mouser
Murata
NI
Numonyx
NXP
ON Semi
R&S
PI
Renesas
ROHM
Spansion
更多
全部
資訊
專欄
下載
視頻
電路
論壇
rtl-4553
西門子發(fā)布Tessent RTL Pro強化可測試性設(shè)計能力
EDA/PCB
西門子
Tessent RTL Pro
可測試性設(shè)計
|
2023-10-19
Cadence推出Joules RTL Design Studio,將RTL生產(chǎn)力和結(jié)果質(zhì)量提升到新的高度
EDA/PCB
Cadence
RTL
|
2023-07-17
Cadence擴展JasperGold平臺用于高級形式化RTL簽核
EDA/PCB
Cadence
RTL
|
2017-06-05
如何通過RTL分析、SDC約束和綜合向?qū)Ц焱瞥鯢PGA設(shè)計
嵌入式系統(tǒng)
RTL
SDC
FPGA
|
2017-06-04
Mentor Graphics Catapult 平臺將設(shè)計啟動到驗證收斂的
EDA/PCB
Mentor
RTL
|
2016-06-12
數(shù)字電路設(shè)計入門之數(shù)字設(shè)計的任務(wù)和兩項基本功
嵌入式系統(tǒng)
Verilog
RTL
|
2016-04-20
FPGA入門者必讀寶典:詳述開發(fā)流程每一環(huán)節(jié)的物理含義和實現(xiàn)目標
嵌入式系統(tǒng)
FPGA
RTL
|
2015-08-27
精確估算SoC設(shè)計動態(tài)功率的新方法
嵌入式系統(tǒng)
SoC
RTL
|
2015-08-05
精確估算SoC設(shè)計動態(tài)功率的新方法
嵌入式系統(tǒng)
SoC
RTL
|
2015-08-01
不同的verilog代碼風格看RTL視圖之三
嵌入式系統(tǒng)
verilog
RTL
|
2015-02-06
不同的verilog代碼風格看RTL視圖之二
嵌入式系統(tǒng)
verilog
RTL
|
2015-01-26
不同的verilog代碼風格看RTL視圖之一
嵌入式系統(tǒng)
FPGA
verilog
RTL
|
2015-01-21
淺淡邏輯設(shè)計的學習(一)
嵌入式系統(tǒng)
邏輯設(shè)計
IC
RTL
|
2014-11-08
解析FPGA低功耗設(shè)計
嵌入式系統(tǒng)
FPGA
低功耗
RTL
|
2014-10-31
Excellicon工具被燦芯半導體采用,用以縮短時序收斂過程加快產(chǎn)品交付
工控自動化
Excellicon
燦芯
RTL
|
2014-04-02
Synopsys IC Compiler II改變設(shè)計游戲規(guī)則后端物理設(shè)計吞吐量提高10倍
EDA/PCB
Synopsys
IC
RTL
|
2014-03-25
Cadence宣布并購Forte Design Systems
網(wǎng)絡(luò)與存儲
Cadence
SystemC
RTL
|
2014-02-10
如何調(diào)試數(shù)字硬件設(shè)計
嵌入式系統(tǒng)
數(shù)字硬件
RTL
參數(shù)測試
JTAG
|
2014-01-27
基于時序邏輯等效性檢查方法的RTL驗證
EDA/PCB
RTL
時序邏輯
等效
檢查方法
|
2013-04-16
用RTL測試平臺驗證事務(wù)級IP模型
測試測量
RTL
測試平臺
模型
|
2012-05-21
Cadence推出新一代Encounter RTL-to-GDSII流程
EDA/PCB
Cadence
RTL-to-GDSII
|
2012-03-06
基于MSP430單片機時鐘芯片RTC-4553溫度誤差軟件補償
嵌入式系統(tǒng)
溫度
誤差
軟件
補償
RTC-4553
芯片
MSP430
單片機
時鐘
|
2012-02-16
基于RTL綜合策略的狀態(tài)機優(yōu)化方案
模擬技術(shù)
RTL
策略
方案
|
2012-01-04
在FPGA設(shè)計中使用Precision RTL 綜合實例
嵌入式系統(tǒng)
綜合
實例
RTL
Precision
設(shè)計
使用
FPGA
|
2010-06-24
Synopsys綜合和布局及布線生產(chǎn)效率提升兩倍
EDA/PCB
Synopsys
RTL
32納米
|
2010-04-08
Design Compiler 2010將綜合和布局及布線的生產(chǎn)效率提高2倍
EDA/PCB
Synopsys
Galaxy
RTL
|
2010-04-07
中芯國際和新思科技攜手推出Reference Flow 4.0
EDA/PCB
中芯國際
65納米
Galaxy
RTL-to-GDSII參考設(shè)計流程4.0
|
2009-06-24
利用現(xiàn)成FPGA開發(fā)板進行ASIC原型開發(fā)
嵌入式系統(tǒng)
FPGA
ASIC
NRE
RTL
|
2009-04-17
Magma 最新版Talus Design面世
EDA/PCB
Magma
RTL
DFT
Talus
|
2009-04-15
Cadence推出C-to-Silicon Compiler拓展系統(tǒng)級產(chǎn)品
EDA/PCB
Cadence
RTL
SoC
IP
|
2008-07-16
CADENCE與Common Platform及ARM合作提供45納米RTL-to-GDSII參考流程
EDA/PCB
CADENCE
Common Platform
ARM
RTL-to-GDSII
低功耗
|
2008-06-16
提高DFT設(shè)計測試覆蓋率的有效方法
測試測量
寄存器
邏輯
存儲器
RTL
接口
掃描
模擬
|
2008-05-26
新型高精度時鐘芯片RTL-4553
嵌入式系統(tǒng)
時鐘芯片
RTL-4553
高精度
MCU和嵌入式微處理器
|
2007-08-21
8位單片機與以太網(wǎng)控制器RTL8029接口的VHDL設(shè)計
工控自動化
VHDL
RTL
8位單片機
|
2006-06-21
熱門文章
IAR加入Zephyr項目成為銀牌會員,強化對開源協(xié)作的承諾
2025-02-12
恩智浦i.MX 94應(yīng)用處理器:變革連接
2025-02-12
TekHSI:開啟示波器數(shù)據(jù)傳輸?shù)母咚贂r代
2025-02-12
家用吸塵器哪個牌子好?開工大吉必備家用吸塵器十大排名
2025-02-12
數(shù)智大咖談丨英飛源:打破數(shù)據(jù)壁壘,法大大電子合同全方位提升生產(chǎn)效率和管理水平
2025-02-12
中國信通院向觀測云發(fā)來感謝信!
2025-02-12
高壓放大器ATA-2082在射流氣液界面波動的超聲波測量中的應(yīng)用
安泰電子
高壓放大器
ATA-2082
2025-02-12
ATA-P2010功率放大器在新控制策略下撞擊式壓電噴射閥點膠性能測試中的應(yīng)用
安泰電子,功率放大器
2025-02-12
熱門視頻
利用SAM E54 Xplained Pro評估工具包演示CAN轉(zhuǎn)USB橋接器以及基于CAN的主機和自舉程序應(yīng)用程序
Let's do第4期 DIY液體流量檢測
恩智浦云實驗室
使用SAM-IoT Wx v2開發(fā)板演示A
使用Harmony 3加速TCP/IP應(yīng)用
集成高級模擬外設(shè)的PIC18F-Q71家族
熱門下載
C語言-你必須知道的495個C語言問題
wenliang37
2025-02-12
1415956K
智能車入門之FreeRTOS實時內(nèi)核使用指南-中文
wenliang37
2025-02-12
3034214K
人工智能游戲編程的經(jīng)典教材與實例
wenliang37
2025-02-12
24141768K
FPGA——FPGA應(yīng)用開發(fā)入門與典型實例
wenliang37
2025-02-12
2156794K
FPGA秘籍——FPGA的零基礎(chǔ)入門教程
wenliang37
2025-02-11
284497K
Xilinx_FPGA系列入門教程(一)—如何搭建Xilinx
wenliang37
2025-02-11
682705K
LED秘籍教程--《成為LED專家的秘藉》應(yīng)用篇
wenliang37
2025-02-11
3958186K
LED秘籍方案——《成為LED專家的秘籍基礎(chǔ)篇》
wenliang37
2025-02-11
1162417K
相關(guān)標簽
RTL-4553
Copyright ? 電子產(chǎn)品世界
關(guān)于我們
聯(lián)系我們
廣告服務(wù)
人才招聘
友情鏈接
網(wǎng)站地圖
TOP
看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人
(function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();