<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁(yè)  資訊  商機(jī)   下載  拆解   高校  招聘   雜志  會(huì)展  EETV  百科   問(wèn)答  電路圖  工程師手冊(cè)   Datasheet  100例   活動(dòng)中心  E周刊閱讀   樣片申請(qǐng)
          EEPW首頁(yè) >> 主題列表 >> fpga+dsp

          視頻跟蹤算法在Davinci SOC上的實(shí)現(xiàn)與優(yōu)化

          •   引言   目標(biāo)跟蹤作為計(jì)算機(jī)視覺(jué)的一個(gè)極具挑戰(zhàn)性的研究任務(wù),已被廣泛的應(yīng)用在人機(jī)交互、智能監(jiān)控、醫(yī)學(xué)圖像處理等領(lǐng)域中。目標(biāo)跟蹤的本質(zhì)是在圖像序列中識(shí)別出目標(biāo)的同時(shí)對(duì)其進(jìn)行精確定位。為了克服噪聲、遮擋、背景的改變等對(duì)目標(biāo)識(shí)別帶來(lái)的困難,出現(xiàn)了很多的跟蹤算法。   因?yàn)槟繕?biāo)跟蹤算法需要處理的數(shù)據(jù)量大、運(yùn)算復(fù)雜,需要性能強(qiáng)大的處理器才能實(shí)時(shí)處理。我們選用TI推出的最新產(chǎn)品TMS320DM6446實(shí)現(xiàn)算法。TMS320DM6446是一款高度集成的片上系統(tǒng),集成了可以運(yùn)行頻率高達(dá)594MHz的C64x+ D
          • 關(guān)鍵字: DSP  Davinci SOC  

          解讀達(dá)芬奇技術(shù)

          •   達(dá)芬奇技術(shù)是一種數(shù)字圖像、視頻、語(yǔ)音、音頻信號(hào)處理的新平臺(tái),一經(jīng)推出,就受到熱烈歡迎,以其為基礎(chǔ)的應(yīng)用開(kāi)發(fā)層出不窮。該技術(shù)是一種內(nèi)涵豐富的綜合體,包含達(dá)芬奇處理器、軟件、開(kāi)發(fā)環(huán)境、算法庫(kù)和其他技術(shù)支持等。正因?yàn)樯婕暗募夹g(shù)面廣,因此有比較高的技術(shù)門(mén)檻。   前言   數(shù)字視頻技術(shù)無(wú)疑將重塑整個(gè)電子行業(yè)的面貌。當(dāng)然,數(shù)字視頻技術(shù)也正在使我們的視頻體驗(yàn)、傳輸以及交互方式發(fā)生著深刻的變化。 其已開(kāi)始進(jìn)入我們的汽車(chē)、計(jì)算機(jī)、移動(dòng)電話(huà)以及網(wǎng)絡(luò)。 不過(guò),帶來(lái)高品質(zhì)的娛樂(lè)享受僅是精彩剛剛開(kāi)始!   過(guò)去,工程師
          • 關(guān)鍵字: 達(dá)芬奇技術(shù)  DSP  

          達(dá)芬奇技術(shù)簡(jiǎn)化數(shù)字視頻設(shè)計(jì)

          •   數(shù)字視頻技術(shù)無(wú)疑將重塑整個(gè)電子行業(yè)的面貌。當(dāng)然,數(shù)字視頻技術(shù)也正在使我們的視頻體驗(yàn)、傳輸以及交互方式發(fā)生著深刻的變化,開(kāi)始進(jìn)入汽車(chē)、計(jì)算機(jī)、移動(dòng)電話(huà)及網(wǎng)絡(luò)。過(guò)去,工程師們?cè)趯?shí)施數(shù)字視頻時(shí)選擇非常有限,硬連線(xiàn)以及基于ASIC的方案總是限制著器件的用途、功能,以及它們的自適應(yīng)性;雖然專(zhuān)用器件的靈活性稍高于ASIC,但是,面對(duì)日新月異的多媒體標(biāo)準(zhǔn)與應(yīng)用,它們的效用仍然很有限;而且缺少具有足夠性能、成本足夠低、靈活性足夠高的數(shù)字視頻開(kāi)發(fā)平臺(tái)。   為了解決這些難題,德州儀器公司提供了一種很好的解決方案,即基
          • 關(guān)鍵字: 達(dá)芬奇  DSP  ARM9  

          基于OMAP的設(shè)計(jì)匯總,包括示波器、監(jiān)護(hù)儀、人機(jī)接口等

          •   德州儀器 (TI) 公司的開(kāi)放式多媒體應(yīng)用平臺(tái)OMAP(Open Multimedia Application Platform)是一種為滿(mǎn)足移動(dòng)多媒體信息處理及無(wú)線(xiàn)通信應(yīng)用開(kāi)發(fā)出來(lái)的高性能、高集成度嵌入式處理器。本文介紹基于OMAP經(jīng)典設(shè)計(jì)匯總,供大家參考。   OMAP5912雙核通信及其數(shù)字音頻系統(tǒng)實(shí)現(xiàn)   OMAP平臺(tái)因其特有的雙核結(jié)構(gòu),廣泛應(yīng)用于實(shí)時(shí)多媒體影音數(shù)據(jù)處理、語(yǔ)音識(shí)別系統(tǒng)、網(wǎng)絡(luò)通信等領(lǐng)域。筆者以O(shè)MAP5912平臺(tái)的數(shù)字音頻系統(tǒng)為例介紹雙核通信的具體應(yīng)用,希望能對(duì)使用OMAP的
          • 關(guān)鍵字: OMAP  示波器  DSP  

          基于OMAP的無(wú)線(xiàn)傳感網(wǎng)節(jié)點(diǎn)處理器的設(shè)計(jì)與實(shí)現(xiàn)

          •   無(wú)線(xiàn)傳感網(wǎng)絡(luò)是計(jì)算技術(shù)、通信技術(shù)和傳感器技術(shù)相結(jié)合的產(chǎn)物。傳感網(wǎng)應(yīng)用場(chǎng)合非常廣泛,節(jié)點(diǎn)也可以搭載不同類(lèi)型的傳感器。當(dāng)節(jié)點(diǎn)自身搭載的傳感器為震動(dòng)、磁傳感器時(shí),采集到的數(shù)據(jù)量較小,處理簡(jiǎn)單,目前的傳感網(wǎng)節(jié)點(diǎn)(如Mica節(jié)點(diǎn))就可以滿(mǎn)足需要。但當(dāng)節(jié)點(diǎn)集成圖像傳感器、紅外傳感器等大數(shù)據(jù)量傳感器對(duì)傳感數(shù)據(jù)網(wǎng)絡(luò)的實(shí)時(shí)要求相當(dāng)高時(shí),現(xiàn)有的節(jié)點(diǎn)受處理及存儲(chǔ)能力的限制無(wú)法滿(mǎn)足要求。   本文主要分析在設(shè)計(jì)較高處理及存儲(chǔ)能力傳感節(jié)點(diǎn)時(shí),如何滿(mǎn)足傳感網(wǎng)節(jié)點(diǎn)低功耗和高處理能力間的平衡關(guān)系,并介紹基于OMAP處理器的節(jié)點(diǎn)處理
          • 關(guān)鍵字: OMAP  DSP  

          FPGA時(shí)序約束的6種方法

          •   對(duì)自己的設(shè)計(jì)的實(shí)現(xiàn)方式越了解,對(duì)自己的設(shè)計(jì)的時(shí)序要求越了解,對(duì)目標(biāo)器件的資源分布和結(jié)構(gòu)越了解,對(duì)EDA工具執(zhí)行約束的效果越了解,那么對(duì)設(shè)計(jì)的時(shí)序約束目標(biāo)就會(huì)越清晰,相應(yīng)地,設(shè)計(jì)的時(shí)序收斂過(guò)程就會(huì)更可控。   下文總結(jié)了幾種進(jìn)行時(shí)序約束的方法。按照從易到難的順序排列如下:   0.核心頻率約束   這是最基本的,所以標(biāo)號(hào)為0.   1.核心頻率約束+時(shí)序例外約束   時(shí)序例外約束包括FalsePath、MulticyclePath、MaxDelay、MinDelay.但這還不是最完整的時(shí)序約束
          • 關(guān)鍵字: FPGA  時(shí)序約束  

          從硬件角度討論FPGA開(kāi)發(fā)框架

          •   FPGA采用了邏輯單元陣列概念,內(nèi)部包括可配置邏輯模塊、輸出輸入模塊和內(nèi)部連線(xiàn)三個(gè)部分。每一塊FPGA芯片都是由有限多個(gè)帶有可編程連接的預(yù)定義源組成來(lái)實(shí)現(xiàn)一種可重構(gòu)數(shù)字電路。   長(zhǎng)久以來(lái)新型FPGA的功能和性能已經(jīng)為它們贏得系統(tǒng)中的核心位置,成為許多產(chǎn)品的主要數(shù)據(jù)處理引擎。   鑒于FPGA在如此多應(yīng)用中的重要地位,采取正式且注重方法的開(kāi)發(fā)流程來(lái)處理FPGA設(shè)計(jì)比以往更加重要。該流程旨在避免開(kāi)發(fā)周期后期因發(fā)現(xiàn)設(shè)計(jì)缺陷而不得不進(jìn)行費(fèi)時(shí)費(fèi)錢(qián)的設(shè)計(jì)修改,而且該缺陷還可能對(duì)項(xiàng)目進(jìn)度計(jì)劃、成本和質(zhì)量造成災(zāi)
          • 關(guān)鍵字: FPGA  

          【從零開(kāi)始走進(jìn)FPGA】美好開(kāi)始——我流啊流啊流

          •   按照基于Windows的語(yǔ)言(C、C++、C#)等編程語(yǔ)言的初學(xué)入門(mén)教程,第一個(gè)歷程應(yīng)該是“Hello World!”的例程。但由于硬件上的驅(qū)動(dòng)難易程度,此例程將在在后續(xù)章程中推出。硬件工程師學(xué)習(xí)開(kāi)發(fā)板的第一個(gè)例程:流水燈,一切美好的開(kāi)始。   本章將會(huì)在設(shè)計(jì)代碼的同時(shí),講解Quartus II 軟件的使用,后續(xù)章節(jié)中只講軟件的思想,以及解決方案,不再做過(guò)多的累贅描述。   一、Step By Step 建立第一個(gè)工程   (1)建立第一個(gè)工程,F(xiàn)ile-New-New
          • 關(guān)鍵字: FPGA  Quartus II  

          基于FPGA的跨時(shí)鐘域信號(hào)處理——MCU

          •   說(shuō)到異步時(shí)鐘域的信號(hào)處理,想必是一個(gè)FPGA設(shè)計(jì)中很關(guān)鍵的技術(shù),也是令很多工程師對(duì)FPGA望而卻步的原因。但是異步信號(hào)的處理真的有那么神秘嗎?那么就讓特權(quán)同學(xué)和你一起慢慢解開(kāi)這些所謂的難點(diǎn)問(wèn)題,不過(guò)請(qǐng)注意,今后的這些關(guān)于異步信號(hào)處理的文章里將會(huì)重點(diǎn)從工程實(shí)踐的角度出發(fā),以一些特權(quán)同學(xué)遇到過(guò)的典型案例的設(shè)計(jì)為依托,從代碼的角度來(lái)剖析一些特權(quán)同學(xué)認(rèn)為經(jīng)典的跨時(shí)鐘域信號(hào)處理的方式。這些文章都是即興而寫(xiě),可能不會(huì)做太多的分類(lèi)或者歸納,也有一些特例,希望網(wǎng)友自己把握。   另外,關(guān)于異步時(shí)鐘域的話(huà)題,推薦大家
          • 關(guān)鍵字: FPGA  MCU  

          跨越鴻溝:同步世界中的異步信號(hào)

          •   只有最初級(jí)的邏輯電路才使用單一的時(shí)鐘。大多數(shù)與數(shù)據(jù)傳輸相關(guān)的應(yīng)用都有與生俱來(lái)的挑戰(zhàn),即跨越多個(gè)時(shí)鐘域的數(shù)據(jù)移動(dòng),例如磁盤(pán)控制器、CDROM/DVD 控制器、調(diào)制解調(diào)器、網(wǎng)卡以及網(wǎng)絡(luò)處理器等。當(dāng)信號(hào)從一個(gè)時(shí)鐘域傳送到另一個(gè)時(shí)鐘域時(shí),出現(xiàn)在新時(shí)鐘域的信號(hào)是異步信號(hào)。   在現(xiàn)代 IC、ASIC 以及 FPGA 設(shè)計(jì)中,許多軟件程序可以幫助工程師建立幾百萬(wàn)門(mén)的電路,但這些程序都無(wú)法解決信號(hào)同步問(wèn)題。設(shè)計(jì)者需要了解可靠的設(shè)計(jì)技巧,以減少電路在跨時(shí)鐘域通信時(shí)的故障風(fēng)險(xiǎn)。   基礎(chǔ)   從事多時(shí)鐘設(shè)計(jì)的第一
          • 關(guān)鍵字: FPGA   異步信號(hào)  FIFO   

          零基礎(chǔ)學(xué)FPGA(十)初入江湖之i2c通信

          •   相信學(xué)過(guò)單片機(jī)的同學(xué)對(duì)I2C總線(xiàn)都不陌生吧,今天我們來(lái)學(xué)習(xí)怎么用verilog語(yǔ)言來(lái)實(shí)現(xiàn)它,并在FPGA學(xué)習(xí)版上顯示。   i2c總線(xiàn)在近年來(lái)微電子通信控制領(lǐng)域廣泛采用的一種新型的總線(xiàn)標(biāo)準(zhǔn),他是同步通信的一種特殊方式,具有接口少,控制簡(jiǎn)單,器件封裝形式小,通信速率高等優(yōu)點(diǎn)。在主從通信中,可以有多個(gè)i2c總線(xiàn)器件同時(shí)接到i2c總線(xiàn)上,所有與i2c兼容的器件都有標(biāo)準(zhǔn)的接口,通過(guò)地址來(lái)識(shí)別通信對(duì)象,使他們可以經(jīng)由i2c總線(xiàn)互相直接通信。   i2c總線(xiàn)由兩條線(xiàn)控制,一條時(shí)鐘線(xiàn)SCL,一條數(shù)據(jù)線(xiàn)SDA,這
          • 關(guān)鍵字: FPGA  i2c  verilog  

          智能醫(yī)療成風(fēng)口 IC設(shè)計(jì)企業(yè)如何站位?

          •   市場(chǎng)研究機(jī)構(gòu)ICInsights最新報(bào)告稱(chēng),中國(guó)IC設(shè)計(jì)企業(yè)在2014年全球前五十無(wú)晶圓廠(chǎng)IC供應(yīng)商排行榜上占據(jù)9個(gè)席位。這9家廠(chǎng)商包括海思、展訊、大唐微、南瑞智芯、華大、中興、瑞芯微、銳迪科、全志。而2009年只有1家企業(yè)入圍,這表明中國(guó)無(wú)晶圓廠(chǎng)IC產(chǎn)業(yè)確實(shí)成長(zhǎng)顯著。   然而,上述9家入圍企業(yè)中,有5家都聚焦于目前最熱門(mén)的智能手機(jī)市場(chǎng)。當(dāng)然,這些年智能手機(jī)終端產(chǎn)業(yè)確實(shí)增長(zhǎng)迅速,也為中國(guó)IC設(shè)計(jì)提供了發(fā)展空間和機(jī)遇。但我國(guó)擁有的是全球最大的信息消費(fèi)市場(chǎng),每年進(jìn)口集成電路產(chǎn)品超過(guò)2000億美元,對(duì)I
          • 關(guān)鍵字: 海思  展訊  FPGA  

          FPGA時(shí)序約束的6種方法

          •   對(duì)自己的設(shè)計(jì)的實(shí)現(xiàn)方式越了解,對(duì)自己的設(shè)計(jì)的時(shí)序要求越了解,對(duì)目標(biāo)器件的資源分布和結(jié)構(gòu)越了解,對(duì)EDA工具執(zhí)行約束的效果越了解,那么對(duì)設(shè)計(jì)的時(shí)序約束目標(biāo)就會(huì)越清晰,相應(yīng)地,設(shè)計(jì)的時(shí)序收斂過(guò)程就會(huì)更可控。   下文總結(jié)了幾種進(jìn)行時(shí)序約束的方法。按照從易到難的順序排列如下:   0.核心頻率約束   這是最基本的,所以標(biāo)號(hào)為0.   1.核心頻率約束+時(shí)序例外約束   時(shí)序例外約束包括FalsePath、MulticyclePath、MaxDelay、MinDelay.但這還不是最完整的時(shí)序約束
          • 關(guān)鍵字: FPGA  時(shí)序約束  

          零基礎(chǔ)學(xué)FPGA(九)牛刀小試——串行口通信電路設(shè)計(jì)

          •   以前在學(xué)單片機(jī)的時(shí)候,覺(jué)得串口通信其實(shí)很簡(jiǎn)單,只要一個(gè)指令數(shù)據(jù)就能輕易的接收或者發(fā)送。前幾天試著用FPGA實(shí)現(xiàn),發(fā)現(xiàn)里面的學(xué)問(wèn)還不少,并沒(méi)有想象的那么簡(jiǎn)單。當(dāng)然代碼肯定是參考別人的,不過(guò)我還是認(rèn)真研究了整段代碼的,下面的程序就是我在看懂了別人代碼后自己敲的,花了也不少時(shí)間,理解的也差不多,下面我就在這里給那些和我一樣的初學(xué)者介紹一下吧,解釋的不對(duì)的地方還望各位大神指正,大家好一起學(xué)習(xí)~   1、頂層模塊   寫(xiě)程序都一樣,不能多有的程序都寫(xiě)在一個(gè)模塊里,那樣看起來(lái)很麻煩,出了錯(cuò)誤也不好維護(hù),對(duì)于一
          • 關(guān)鍵字: FPGA  狀態(tài)機(jī)  

          【從零開(kāi)始走進(jìn)FPGA】路在何方——Verilog快速入門(mén)

          •   一、關(guān)于HDL   1. HDL簡(jiǎn)介   HDL : Hardware Discription Language 硬件描述語(yǔ)言,即描述FPGA/CPLD內(nèi)部邏輯門(mén)的工作狀態(tài),來(lái)實(shí)現(xiàn)一定電路。   隨著EDA技術(shù)的發(fā)展,使用硬件語(yǔ)言設(shè)計(jì)PLD/FPGA成為一種趨勢(shì)。目前硬件描述語(yǔ)言有VHDL、Verilog、Superlog、System C、Cynlib C++、C Level等。 各種語(yǔ)言有各種優(yōu)勢(shì),根據(jù)業(yè)界應(yīng)用而定。   2. VHDL和Verilog區(qū)別   在業(yè)界,VHDL和Veri
          • 關(guān)鍵字: FPGA  Verilog  
          共9854條 156/657 |‹ « 154 155 156 157 158 159 160 161 162 163 » ›|

          fpga+dsp介紹

          您好,目前還沒(méi)有人創(chuàng)建詞條fpga+dsp!
          歡迎您創(chuàng)建該詞條,闡述對(duì)fpga+dsp的理解,并與今后在此搜索fpga+dsp的朋友們分享。    創(chuàng)建詞條

          熱門(mén)主題

          樹(shù)莓派    linux   
          關(guān)于我們 - 廣告服務(wù) - 企業(yè)會(huì)員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機(jī)EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國(guó)際技術(shù)信息咨詢(xún)有限公司
          備案 京ICP備12027778號(hào)-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();